# HG changeset patch # User jeandet # Date 2013-12-09 09:22:11 # Node ID 8decc2aa17b6f1ab18b0ca53c6e2f68494f95b53 # Parent a63a22bc260d51d5698283d7c5ec53c7410a66d6 Sync diff --git a/boards/BeagleSynth/default.ucf b/boards/BeagleSynth/default.ucf --- a/boards/BeagleSynth/default.ucf +++ b/boards/BeagleSynth/default.ucf @@ -2,10 +2,11 @@ NET "CLK" CLOCK_DEDICATED_ROUTE = FALSE; NET "CLK" LOC = "K20"| slew=FAST | IOSTANDARD=LVTTL; NET "CLKM" TNM_NET = "clkm_net"; -TIMESPEC "TS_clkm_net" = PERIOD "clkm_net" 10 ns HIGH 50%; - +TIMESPEC "TS_clkm_net" = PERIOD "clkm_net" 10 ns HIGH 50%; + + NET "RESET" CLOCK_DEDICATED_ROUTE = FALSE; -NET "RESET" LOC = "AB11" | slew=FAST | IOSTANDARD=LVTTL; +NET "RESET" LOC = "AB11" | slew=FAST | IOSTANDARD=LVTTL; NET "DAC_nCLR" LOC = "R11" | IOSTANDARD=LVTTL; NET "DAC_nCS" LOC = "T12" | IOSTANDARD=LVTTL; @@ -17,15 +18,15 @@ NET "DAC_SDI(3)" LOC = "M6" | IOSTANDA NET "DAC_SDI(4)" LOC = "K22" | IOSTANDARD=LVTTL; NET "DAC_SDI(5)" LOC = "L22" | IOSTANDARD=LVTTL; NET "DAC_SDI(6)" LOC = "G19" | IOSTANDARD=LVTTL; -NET "DAC_SDI(7)" LOC = "F20" | IOSTANDARD=LVTTL; +NET "DAC_SDI(7)" LOC = "F20" | IOSTANDARD=LVTTL; - + NET "TXD" LOC = "V22"| slew=FAST | IOSTANDARD=LVTTL; NET "RXD" LOC = "U22"| slew=FAST | IOSTANDARD=LVTTL; NET "LED(0)" LOC = "AB9"| slew=FAST | IOSTANDARD=LVTTL; NET "LED(1)" LOC = "AB8"| slew=FAST | IOSTANDARD=LVTTL; -NET "LED(2)" LOC = "AA8"| slew=FAST | IOSTANDARD=LVTTL; - +NET "LED(2)" LOC = "AA8"| slew=FAST | IOSTANDARD=LVTTL; + NET "urxd1" LOC = "D3" | IOSTANDARD=LVTTL; # Unused PIN NET "utxd1" LOC = "C4" | IOSTANDARD=LVTTL; # Unused PIN @@ -91,6 +92,58 @@ NET "Data(2)" LOC = "A20"| slew=FAS NET "Data(1)" LOC = "B18"| slew=FAST | IOSTANDARD=LVTTL; # sdram data NET "Data(0)" LOC = "A18"| slew=FAST | IOSTANDARD=LVTTL; # sdram data +NET "GPMC_AD(0)" LOC = "M1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(1)" LOC = "M2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(2)" LOC = "AB3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(3)" LOC = "AB2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(4)" LOC = "N1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(5)" LOC = "N3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(6)" LOC = "AB5"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(7)" LOC = "AB4"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(8)" LOC = "R1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(9)" LOC = "V1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(10)" LOC = "U3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(11)" LOC = "T1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(12)" LOC = "V2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(13)" LOC = "W1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(14)" LOC = "T2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_AD(15)" LOC = "U1"| slew=FAST | IOSTANDARD=LVTTL; + + + +NET "GPMC_A(0)" LOC = "N4"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(1)" LOC = "N6"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(2)" LOC = "P3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(3)" LOC = "P4"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(4)" LOC = "R4"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(5)" LOC = "T5"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(6)" LOC = "T6"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(7)" LOC = "T3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(8)" LOC = "L1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(9)" LOC = "K1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(10)" LOC = "L3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(11)" LOC = "K2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(12)" LOC = "F1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(13)" LOC = "F2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(14)" LOC = "G3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(15)" LOC = "H2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(16)" LOC = "G1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(17)" LOC = "H1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(18)" LOC = "J1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_A(19)" LOC = "J3"| slew=FAST | IOSTANDARD=LVTTL; + +NET "GPMC_CLK_MUX0" CLOCK_DEDICATED_ROUTE = FALSE; +NET "GPMC_CLK_MUX0" LOC = "R3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_WEN" LOC = "W3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_OEN_REN" LOC = "Y2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_ADVN_ALE" LOC = "AA2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_CSN(0)" LOC = "M3"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_CSN(1)" LOC = "P1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_CSN(2)" LOC = "P2"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_BE0N_CLE" LOC = "Y1"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_BE1N" LOC = "AB21"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_WAIT0" LOC = "AA21"| slew=FAST | IOSTANDARD=LVTTL; +NET "GPMC_WPN" LOC = "W22"| slew=FAST | IOSTANDARD=LVTTL; @@ -107,3 +160,8 @@ NET "Data(0)" LOC = "A18"| slew=FAS + + + + + diff --git a/designs/BeagleSynth/BeagleSynth.vhd b/designs/BeagleSynth/BeagleSynth.vhd --- a/designs/BeagleSynth/BeagleSynth.vhd +++ b/designs/BeagleSynth/BeagleSynth.vhd @@ -22,7 +22,7 @@ use lpp.apb_devices_list.all; use lpp.general_purpose.all; use lpp.lpp_cna.all; -Library UNISIM; +Library UNISIM; use UNISIM.vcomponents.all; @@ -43,17 +43,32 @@ entity BeagleSynth is clktech : integer := CFG_CLKTECH ); port ( - reset : in std_ulogic; - clk : in std_ulogic; - DAC_nCLR : out std_ulogic; - DAC_nCS : out std_ulogic; - CAL_IN_SCK : out std_ulogic; - DAC_SDI : out std_logic_vector(7 downto 0); - TXD : out std_ulogic; - RXD : in std_ulogic; - urxd1 : in std_ulogic; - utxd1 : out std_ulogic; - LED : out std_ulogic_vector(2 downto 0); + reset : in std_ulogic; + clk : in std_ulogic; + DAC_nCLR : out std_ulogic; + DAC_nCS : out std_ulogic; + CAL_IN_SCK : out std_ulogic; + DAC_SDI : out std_logic_vector(7 downto 0); + TXD : out std_ulogic; + RXD : in std_ulogic; + urxd1 : in std_ulogic; + utxd1 : out std_ulogic; + LED : out std_ulogic_vector(2 downto 0); +-------------------------------------------------------- +---- Beaglebone GPMC +-------------------------------------------------------- + GPMC_AD : inout std_logic_vector(15 downto 0); + GPMC_A : in std_logic_vector(19 downto 0); + GPMC_CLK_MUX0 : in std_ulogic; + GPMC_WEN : in std_ulogic; + GPMC_OEN_REN : in std_ulogic; + GPMC_ADVN_ALE : in std_ulogic; + GPMC_CSN : in std_ulogic_vector(2 downto 0); + GPMC_BE0N_CLE : in std_ulogic; + GPMC_BE1N : in std_ulogic; + GPMC_WAIT0 : in std_ulogic; + GPMC_WPN : in std_ulogic; + -------------------------------------------------------- ---- SDRAM ---- For SDRAM config have a look on leon3-altera-ep1c20 @@ -127,6 +142,7 @@ signal smpclk : std_logic; signal smpclk_reg : std_logic; signal DAC_SDO : std_logic; +signal gpmc_clk : std_logic; begin DAC_nCLR <= '1'; @@ -323,6 +339,24 @@ elsif clkm'event and clkm = '1' then end if; end process; +gpmc_clk_pad : clkpad GENERIC MAP (tech => padtech) PORT MAP (GPMC_CLK_MUX0, gpmc_clk); +GPMCS0: entity work.GPMC_SLAVE + generic map(memtech,padtech) + Port map( + clk => clkm, + reset => rstn, + GPMC_AD => GPMC_AD, + GPMC_A => GPMC_A, + GPMC_CLK_MUX0 => gpmc_clk, + GPMC_WEN => GPMC_WEN, + GPMC_OEN_REN => GPMC_OEN_REN, + GPMC_ADVN_ALE => GPMC_ADVN_ALE, + GPMC_CSN => GPMC_CSN, + GPMC_BE0N_CLE => GPMC_BE0N_CLE, + GPMC_BE1N => GPMC_BE1N, + GPMC_WAIT0 => GPMC_WAIT0, + GPMC_WPN => GPMC_WAIT0 + ); end rtl; diff --git a/designs/BeagleSynth/GPMC_SLAVE.vhd b/designs/BeagleSynth/GPMC_SLAVE.vhd new file mode 100644 --- /dev/null +++ b/designs/BeagleSynth/GPMC_SLAVE.vhd @@ -0,0 +1,100 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:20:11 12/08/2013 +-- Design Name: +-- Module Name: GPMC_SLAVE - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.numeric_std.all; +library grlib, techmap; +use grlib.stdlib.all; +use techmap.gencomp.all; +use techmap.allclkgen.all; +library lpp; +use lpp.general_purpose.all; + +entity GPMC_SLAVE is + generic ( + memtech : integer := 0; + padtech : integer := 0 + ); + Port ( + clk : in STD_LOGIC; + reset : in STD_LOGIC; + GPMC_AD : inout std_logic_vector(15 downto 0); + GPMC_A : in std_logic_vector(19 downto 0); + GPMC_CLK_MUX0 : in std_ulogic; + GPMC_WEN : in std_ulogic; + GPMC_OEN_REN : in std_ulogic; + GPMC_ADVN_ALE : in std_ulogic; + GPMC_CSN : in std_ulogic_vector(2 downto 0); + GPMC_BE0N_CLE : in std_ulogic; + GPMC_BE1N : in std_ulogic; + GPMC_WAIT0 : in std_ulogic; + GPMC_WPN : in std_ulogic + ); +end GPMC_SLAVE; + +architecture Behavioral of GPMC_SLAVE is +constant VectInit : std_logic_vector(15 downto 0):=(others => '0'); + +signal data_out :std_logic_vector(15 downto 0); +signal data_in :std_logic_vector(15 downto 0); + +type RAMarrayT is array (0 to 255) of std_logic_vector(15 downto 0); +signal RAMarray : RAMarrayT:=(others => VectInit); +signal ramindex : integer range 0 to 255; + +begin + +data_pad : iopadv generic map (tech=> padtech,width => 16) +port map ( + pad => GPMC_AD(15 downto 0), + o => data_in(15 downto 0), + en => GPMC_OEN_REN, + i => data_out(15 downto 0) +); + +process(reset,GPMC_CLK_MUX0) +begin +if reset = '0' then + data_out <= (others => '0'); + ramindex <= 0; +elsif GPMC_CLK_MUX0'event and GPMC_CLK_MUX0 = '1' then + ramindex <= to_integer(unsigned(GPMC_A)); + data_out <= RAMarray(ramindex); + if GPMC_WEN = '0' then + RAMarray(ramindex) <= data_in; + end if; +end if; +end process; + +end Behavioral; + + + + + + + + + + + + + + diff --git a/designs/BeagleSynth/Makefile b/designs/BeagleSynth/Makefile --- a/designs/BeagleSynth/Makefile +++ b/designs/BeagleSynth/Makefile @@ -17,7 +17,7 @@ VHDLOPTSYNFILES= VHDLSYNFILES= \ - config.vhd BeagleSynth.vhd BeagleSynth_MCTRL.vhd + config.vhd BeagleSynth.vhd BeagleSynth_MCTRL.vhd GPMC_SLAVE.vhd #VHDLSIMFILES=testbench.vhd #SIMTOP=testbench #SDCFILE=$(GRLIB)/boards/$(BOARD)/default.sdc diff --git a/designs/beaglebone-test1/.config b/designs/beaglebone-test1/.config deleted file mode 100644 --- a/designs/beaglebone-test1/.config +++ /dev/null @@ -1,335 +0,0 @@ -# -# Automatically generated make config: don't edit -# -CONFIG_LEON3FT_PRESENT=y -CONFIG_HAS_SHARED_GRFPU=y - -# -# Synthesis -# -# CONFIG_SYN_INFERRED is not set -# CONFIG_SYN_STRATIX is not set -# CONFIG_SYN_STRATIXII is not set -# CONFIG_SYN_STRATIXIII is not set -# CONFIG_SYN_CYCLONEIII is not set -# CONFIG_SYN_ALTERA is not set -# CONFIG_SYN_AXCEL is not set -# CONFIG_SYN_AXDSP is not set -# CONFIG_SYN_PROASIC is not set -# CONFIG_SYN_PROASICPLUS is not set -# CONFIG_SYN_PROASIC3 is not set -# CONFIG_SYN_PROASIC3E is not set -# CONFIG_SYN_PROASIC3L is not set -# CONFIG_SYN_IGLOO is not set -# CONFIG_SYN_FUSION is not set -# CONFIG_SYN_UT025CRH is not set -# CONFIG_SYN_UT130HBD is not set -# CONFIG_SYN_UT90NHBD is not set -# CONFIG_SYN_ATC18 is not set -# CONFIG_SYN_ATC18RHA is not set -# CONFIG_SYN_CMOS9SF is not set -# CONFIG_SYN_CUSTOM1 is not set -# CONFIG_SYN_EASIC90 is not set -# CONFIG_SYN_EASIC45 is not set -# CONFIG_SYN_IHP25 is not set -# CONFIG_SYN_IHP25RH is not set -# CONFIG_SYN_LATTICE is not set -# CONFIG_SYN_ECLIPSE is not set -# CONFIG_SYN_PEREGRINE is not set -# CONFIG_SYN_RH_LIB18T is not set -# CONFIG_SYN_RHUMC is not set -# CONFIG_SYN_SMIC13 is not set -# CONFIG_SYN_TM65GPLUS is not set -# CONFIG_SYN_TSMC90 is not set -# CONFIG_SYN_UMC is not set -# CONFIG_SYN_SPARTAN2 is not set -# CONFIG_SYN_SPARTAN3 is not set -# CONFIG_SYN_SPARTAN3E is not set -CONFIG_SYN_SPARTAN6=y -# CONFIG_SYN_VIRTEX is not set -# CONFIG_SYN_VIRTEXE is not set -# CONFIG_SYN_VIRTEX2 is not set -# CONFIG_SYN_VIRTEX4 is not set -# CONFIG_SYN_VIRTEX5 is not set -# CONFIG_SYN_VIRTEX6 is not set -# CONFIG_SYN_INFER_RAM is not set -# CONFIG_SYN_INFER_PADS is not set -# CONFIG_SYN_NO_ASYNC is not set -# CONFIG_SYN_SCAN is not set - -# -# Clock generation -# -# CONFIG_CLK_INFERRED is not set -# CONFIG_CLK_HCLKBUF is not set -# CONFIG_CLK_UT130HBD is not set -# CONFIG_CLK_ALTDLL is not set -# CONFIG_CLK_LATDLL is not set -# CONFIG_CLK_PRO3PLL is not set -# CONFIG_CLK_PRO3EPLL is not set -# CONFIG_CLK_PRO3LPLL is not set -# CONFIG_CLK_FUSPLL is not set -# CONFIG_CLK_LIB18T is not set -# CONFIG_CLK_RHUMC is not set -# CONFIG_CLK_CLKDLL is not set -CONFIG_CLK_DCM=y -CONFIG_CLK_MUL=20 -CONFIG_CLK_DIV=11 -# CONFIG_PCI_CLKDLL is not set -# CONFIG_CLK_NOFB is not set -# CONFIG_PCI_SYSCLK is not set -CONFIG_LEON3=y -CONFIG_PROC_NUM=1 - -# -# Processor -# - -# -# Integer unit -# -CONFIG_IU_NWINDOWS=8 -CONFIG_IU_V8MULDIV=y -CONFIG_IU_MUL_LATENCY_2=y -# CONFIG_IU_MUL_LATENCY_4 is not set -# CONFIG_IU_MUL_LATENCY_5 is not set -CONFIG_IU_MUL_INFERRED=y -# CONFIG_IU_MUL_MODGEN is not set -# CONFIG_IU_MUL_TECHSPEC is not set -# CONFIG_IU_MUL_DW is not set -CONFIG_IU_BP=y -CONFIG_IU_SVT=y -CONFIG_NOTAG=y -CONFIG_IU_LDELAY=1 -CONFIG_IU_WATCHPOINTS=2 -CONFIG_PWD=y -CONFIG_IU_RSTADDR=00000 - -# -# Floating-point unit -# -# CONFIG_FPU_ENABLE is not set - -# -# Cache system -# -CONFIG_ICACHE_ENABLE=y -# CONFIG_ICACHE_ASSO1 is not set -CONFIG_ICACHE_ASSO2=y -# CONFIG_ICACHE_ASSO3 is not set -# CONFIG_ICACHE_ASSO4 is not set -# CONFIG_ICACHE_SZ1 is not set -# CONFIG_ICACHE_SZ2 is not set -# CONFIG_ICACHE_SZ4 is not set -CONFIG_ICACHE_SZ8=y -# CONFIG_ICACHE_SZ16 is not set -# CONFIG_ICACHE_SZ32 is not set -# CONFIG_ICACHE_SZ64 is not set -# CONFIG_ICACHE_SZ128 is not set -# CONFIG_ICACHE_SZ256 is not set -# CONFIG_ICACHE_LZ16 is not set -CONFIG_ICACHE_LZ32=y -CONFIG_ICACHE_ALGORND=y -# CONFIG_ICACHE_ALGODIR is not set -# CONFIG_ICACHE_ALGOLRR is not set -# CONFIG_ICACHE_ALGOLRU is not set -# CONFIG_ICACHE_LOCK is not set -CONFIG_DCACHE_ENABLE=y -# CONFIG_DCACHE_ASSO1 is not set -CONFIG_DCACHE_ASSO2=y -# CONFIG_DCACHE_ASSO3 is not set -# CONFIG_DCACHE_ASSO4 is not set -# CONFIG_DCACHE_SZ1 is not set -# CONFIG_DCACHE_SZ2 is not set -CONFIG_DCACHE_SZ4=y -# CONFIG_DCACHE_SZ8 is not set -# CONFIG_DCACHE_SZ16 is not set -# CONFIG_DCACHE_SZ32 is not set -# CONFIG_DCACHE_SZ64 is not set -# CONFIG_DCACHE_SZ128 is not set -# CONFIG_DCACHE_SZ256 is not set -CONFIG_DCACHE_LZ16=y -# CONFIG_DCACHE_LZ32 is not set -CONFIG_DCACHE_ALGORND=y -# CONFIG_DCACHE_ALGODIR is not set -# CONFIG_DCACHE_ALGOLRR is not set -# CONFIG_DCACHE_ALGOLRU is not set -# CONFIG_DCACHE_LOCK is not set -CONFIG_DCACHE_SNOOP=y -CONFIG_DCACHE_SNOOP_FAST=y -CONFIG_DCACHE_SNOOP_SEPTAG=y -CONFIG_CACHE_FIXED=0 - -# -# MMU -# -CONFIG_MMU_ENABLE=y -# CONFIG_MMU_COMBINED is not set -CONFIG_MMU_SPLIT=y -CONFIG_MMU_REPARRAY=y -# CONFIG_MMU_REPINCREMENT is not set -# CONFIG_MMU_I2 is not set -# CONFIG_MMU_I4 is not set -CONFIG_MMU_I8=y -# CONFIG_MMU_I16 is not set -# CONFIG_MMU_I32 is not set -# CONFIG_MMU_D2 is not set -# CONFIG_MMU_D4 is not set -CONFIG_MMU_D8=y -# CONFIG_MMU_D16 is not set -# CONFIG_MMU_D32 is not set -CONFIG_MMU_FASTWB=y -CONFIG_MMU_PAGE_4K=y -# CONFIG_MMU_PAGE_8K is not set -# CONFIG_MMU_PAGE_16K is not set -# CONFIG_MMU_PAGE_32K is not set -# CONFIG_MMU_PAGE_PROG is not set - -# -# Debug Support Unit -# -CONFIG_DSU_ENABLE=y -CONFIG_DSU_ITRACE=y -# CONFIG_DSU_ITRACESZ1 is not set -# CONFIG_DSU_ITRACESZ2 is not set -CONFIG_DSU_ITRACESZ4=y -# CONFIG_DSU_ITRACESZ8 is not set -# CONFIG_DSU_ITRACESZ16 is not set -CONFIG_DSU_ATRACE=y -# CONFIG_DSU_ATRACESZ1 is not set -# CONFIG_DSU_ATRACESZ2 is not set -CONFIG_DSU_ATRACESZ4=y -# CONFIG_DSU_ATRACESZ8 is not set -# CONFIG_DSU_ATRACESZ16 is not set - -# -# Fault-tolerance -# -# CONFIG_LEON3FT_EN is not set - -# -# VHDL debug settings -# -# CONFIG_IU_DISAS is not set -# CONFIG_DEBUG_PC32 is not set - -# -# AMBA configuration -# -CONFIG_AHB_DEFMST=0 -CONFIG_AHB_RROBIN=y -# CONFIG_AHB_SPLIT is not set -CONFIG_AHB_IOADDR=FFF -CONFIG_APB_HADDR=800 -# CONFIG_AHB_MON is not set -# CONFIG_AHB_DTRACE is not set - -# -# Debug Link -# -CONFIG_DSU_JTAG=y -CONFIG_DSU_ETH=y -# CONFIG_DSU_ETHSZ1 is not set -CONFIG_DSU_ETHSZ2=y -# CONFIG_DSU_ETHSZ4 is not set -# CONFIG_DSU_ETHSZ8 is not set -# CONFIG_DSU_ETHSZ16 is not set -CONFIG_DSU_IPMSB=C0A8 -CONFIG_DSU_IPLSB=0033 -CONFIG_DSU_ETHMSB=020605 -CONFIG_DSU_ETHLSB=000987 - -# -# Peripherals -# - -# -# Memory controller -# - -# -# Leon2 memory controller -# -CONFIG_MCTRL_LEON2=y -# CONFIG_MCTRL_8BIT is not set -CONFIG_MCTRL_16BIT=y -# CONFIG_MCTRL_5CS is not set -# CONFIG_MCTRL_SDRAM is not set - -# -# MIG memory controller -# -CONFIG_MIG_DDR2=y -CONFIG_MIG_RANKS=1 -CONFIG_MIG_COLBITS=10 -CONFIG_MIG_ROWBITS=13 -CONFIG_MIG_BANKBITS=3 -CONFIG_MIG_HMASK=F80 -CONFIG_AHBSTAT_ENABLE=y -CONFIG_AHBSTAT_NFTSLV=1 - -# -# On-chip RAM/ROM -# -# CONFIG_AHBROM_ENABLE is not set -# CONFIG_AHBRAM_ENABLE is not set - -# -# Ethernet -# -CONFIG_GRETH_ENABLE=y -# CONFIG_GRETH_GIGA is not set -# CONFIG_GRETH_FIFO4 is not set -# CONFIG_GRETH_FIFO8 is not set -# CONFIG_GRETH_FIFO16 is not set -CONFIG_GRETH_FIFO32=y -# CONFIG_GRETH_FIFO64 is not set - -# -# UART, timer, I/O port and interrupt controller -# -CONFIG_UART1_ENABLE=y -# CONFIG_UA1_FIFO1 is not set -# CONFIG_UA1_FIFO2 is not set -CONFIG_UA1_FIFO4=y -# CONFIG_UA1_FIFO8 is not set -# CONFIG_UA1_FIFO16 is not set -# CONFIG_UA1_FIFO32 is not set -CONFIG_IRQ3_ENABLE=y -# CONFIG_IRQ3_SEC is not set -CONFIG_GPT_ENABLE=y -CONFIG_GPT_NTIM=2 -CONFIG_GPT_SW=8 -CONFIG_GPT_TW=32 -CONFIG_GPT_IRQ=8 -CONFIG_GPT_SEPIRQ=y -# CONFIG_GPT_WDOGEN is not set -CONFIG_GRGPIO_ENABLE=y -CONFIG_GRGPIO_WIDTH=8 -CONFIG_GRGPIO_IMASK=0000 - -# -# Keybord and VGA interface -# -# CONFIG_KBD_ENABLE is not set -# CONFIG_VGA_ENABLE is not set -CONFIG_SVGA_ENABLE=y - -# -# SPI -# - -# -# SPI memory controller -# -# CONFIG_SPIMCTRL is not set - -# -# SPI controller(s) -# -# CONFIG_SPICTRL_ENABLE is not set - -# -# VHDL Debugging -# -# CONFIG_DEBUG_UART is not set diff --git a/designs/beaglebone-test1/Makefile b/designs/beaglebone-test1/Makefile deleted file mode 100644 --- a/designs/beaglebone-test1/Makefile +++ /dev/null @@ -1,57 +0,0 @@ -include .config - -#GRLIB=../.. -TOP=leon3mp -BOARD=xilinx-sp605-xc6slx45t -include $(GRLIB)/boards/$(BOARD)/Makefile.inc -DEVICE=$(PART)-$(PACKAGE)$(SPEED) -#UCF=$(GRLIB)/boards/$(BOARD)/$(TOP).ucf -UCF=leon3mp.ucf -QSF=$(GRLIB)/boards/$(BOARD)/$(TOP).qsf -EFFORT=high -ISEMAPOPT="-timing" -XSTOPT="" -SYNPOPT="set_option -maxfan 100; set_option -pipe 1; set_option -retiming 1; set_option -write_apr_constraint 0" -VHDLOPTSYNFILES= mig/mig_38/user_design/rtl/iodrp_controller.vhd \ - mig/mig_38/user_design/rtl/iodrp_mcb_controller.vhd \ - mig/mig_38/user_design/rtl/mcb_raw_wrapper.vhd \ - mig/mig_38/user_design/rtl/mcb_soft_calibration.vhd \ - mig/mig_38/user_design/rtl/mcb_soft_calibration_top.vhd \ - mig/mig_38/user_design/rtl/memc3_infrastructure.vhd \ - mig/mig_38/user_design/rtl/memc3_wrapper.vhd \ - mig/mig_38/user_design/rtl/mig_38.vhd -VHDLSYNFILES= \ - config.vhd svga2ch7301c.vhd ahbrom.vhd \ - ahb2mig_sp605.vhd vga_clkgen.vhd leon3mp.vhd -VHDLSIMFILES=testbench.vhd -SIMTOP=testbench -#SDCFILE=$(GRLIB)/boards/$(BOARD)/default.sdc -SDCFILE=default.sdc -BITGEN=$(GRLIB)/boards/$(BOARD)/default.ut -CLEAN=soft-clean -VCOMOPT=-explicit -TECHLIBS = secureip unisim - -LIBSKIP = core1553bbc core1553brm core1553brt gr1553 corePCIF \ - tmtc openchip cypress ihp gleichmann gsi fmf spansion -DIRSKIP = b1553 pcif leon2 leon2ft crypto satcan pci leon3ft ambatest \ - leon4 leon4b64 l2cache gr1553b iommu haps ascs slink coremp7 pwm \ - ac97 hcan usb -DIRADD = leon3ftv2 -FILEADD = MCB.vhd -FILESKIP = grcan.vhd ddr2.v mobile_ddr.v - -include $(GRLIB)/bin/Makefile -include $(GRLIB)/software/leon3/Makefile - - -################## project specific targets ########################## - -mig: - coregen -b mig/mig.xco -p mig - patch -p0 < mig.diff - -migclean: - -rm -rf mig/mig_38* mig/tmp - -.PHONY: mig diff --git a/designs/beaglebone-test1/README.txt b/designs/beaglebone-test1/README.txt deleted file mode 100644 --- a/designs/beaglebone-test1/README.txt +++ /dev/null @@ -1,209 +0,0 @@ -This leon3 design is tailored to the Xilinx SP605 Spartan6 board - -Simulation and synthesis ------------------------- - -The design uses the Xilinx MIG memory interface with an AHB-2.0 -interface. The MIG source code cannot be distributed due to the -prohibitive Xilinx license, so the MIG must be re-generated with -coregen before simulation and synthesis can be done. - -To generate the MIG and install tne Xilinx unisim simulation -library, do as follows: - - make mig - make install-secureip - -This will ONLY work with ISE-13.2 installed, and the XILINX variable -properly set in the shell. To synthesize the design, do - - make ise - -and then - - make ise-prog-fpga - -to program the FPGA. - -Design specifics ----------------- - -* System reset is mapped to the CPU RESET button - -* The AHB and processor is clocked by a 60 MHz clock, generated - from the 33 MHz SYSACE clock using a DCM. You can change the frequency - generation in the clocks menu of xconfig. The DDR3 (MIG) controller - runs at 667 MHz. - -* The GRETH core is enabled and runs without problems at 100 Mbit. - Ethernet debug link is enabled and has IP 192.168.0.51. - 1 Gbit operation is also possible (requires grlib com release), - uncomment related timing constraints in the leon3mp.ucf first. - -* 16-bit flash prom can be read at address 0. It can be programmed - with GRMON version 1.1.16 or later. - -* DDR3 is working with the provided Xilinx MIG DDR3 controller. - If you want to simulate this design, first install the secure - IP models with: - - make install-secureip - - Then rebuild the scripts and simulation model: - - make distclean vsim - - Modelsim v6.6e or newer is required to build the secure IP models. - Note that the regular leon3 test bench cannot be run in simulation - as the DDR3 model lacks data pre-load. - -* The application UART1 is connected to the USB/UART connector - -* The SVGA frame buffer uses a separate port on the DDR3 controller, - and therefore does not noticeably affect the performance of the processor. - Default output is analog VGA, to switch to DVI mode execute this - command in grmon: - - i2c dvi init_l4itx_vga - -* The JTAG DSU interface is enabled and accesible via the USB/JTAG port. - Start grmon with -xilusb to connect. - -* Output from GRMON is: - -$ grmon -xilusb -u - - GRMON LEON debug monitor v1.1.51 professional version (debug) - - Copyright (C) 2004-2011 Aeroflex Gaisler - all rights reserved. - For latest updates, go to http://www.gaisler.com/ - Comments or bug-reports to support@gaisler.com - - Xilinx cable: Cable type/rev : 0x3 - JTAG chain: xc6slx45t xccace - - GRLIB build version: 4111 - - initialising ............... - detected frequency: 50 MHz - SRAM waitstates: 1 - - Component Vendor - LEON3 SPARC V8 Processor Gaisler Research - AHB Debug JTAG TAP Gaisler Research - GR Ethernet MAC Gaisler Research - LEON2 Memory Controller European Space Agency - AHB/APB Bridge Gaisler Research - LEON3 Debug Support Unit Gaisler Research - Xilinx MIG DDR2 controller Gaisler Research - AHB/APB Bridge Gaisler Research - Generic APB UART Gaisler Research - Multi-processor Interrupt Ctrl Gaisler Research - Modular Timer Unit Gaisler Research - SVGA Controller Gaisler Research - AMBA Wrapper for OC I2C-master Gaisler Research - General purpose I/O port Gaisler Research - AHB status register Gaisler Research - - Use command 'info sys' to print a detailed report of attached cores - -grlib> inf sys -00.01:003 Gaisler Research LEON3 SPARC V8 Processor (ver 0x0) - ahb master 0 -01.01:01c Gaisler Research AHB Debug JTAG TAP (ver 0x1) - ahb master 1 -02.01:01d Gaisler Research GR Ethernet MAC (ver 0x0) - ahb master 2, irq 12 - apb: 80000e00 - 80000f00 - Device index: dev0 - edcl ip 192.168.1.51, buffer 2 kbyte -00.04:00f European Space Agency LEON2 Memory Controller (ver 0x1) - ahb: 00000000 - 20000000 - apb: 80000000 - 80000100 - 16-bit prom @ 0x00000000 -01.01:006 Gaisler Research AHB/APB Bridge (ver 0x0) - ahb: 80000000 - 80100000 -02.01:004 Gaisler Research LEON3 Debug Support Unit (ver 0x1) - ahb: 90000000 - a0000000 - AHB trace 256 lines, 32-bit bus, stack pointer 0x47fffff0 - CPU#0 win 8, hwbp 2, itrace 256, V8 mul/div, srmmu, lddel 1 - icache 2 * 8 kbyte, 32 byte/line rnd - dcache 2 * 4 kbyte, 16 byte/line rnd -04.01:06b Gaisler Research Xilinx MIG DDR2 controller (ver 0x0) - ahb: 40000000 - 48000000 - apb: 80100000 - 80100100 - DDR2: 128 Mbyte -0d.01:006 Gaisler Research AHB/APB Bridge (ver 0x0) - ahb: 80100000 - 80200000 -01.01:00c Gaisler Research Generic APB UART (ver 0x1) - irq 2 - apb: 80000100 - 80000200 - baud rate 38343, DSU mode (FIFO debug) -02.01:00d Gaisler Research Multi-processor Interrupt Ctrl (ver 0x3) - apb: 80000200 - 80000300 -03.01:011 Gaisler Research Modular Timer Unit (ver 0x0) - irq 8 - apb: 80000300 - 80000400 - 8-bit scaler, 2 * 32-bit timers, divisor 50 -06.01:063 Gaisler Research SVGA Controller (ver 0x0) - apb: 80000600 - 80000700 - clk0: 50.00 MHz -09.01:028 Gaisler Research AMBA Wrapper for OC I2C-master (ver 0x3) - irq 14 - apb: 80000900 - 80000a00 -0a.01:01a Gaisler Research General purpose I/O port (ver 0x1) - apb: 80000a00 - 80000b00 -0f.01:052 Gaisler Research AHB status register (ver 0x0) - irq 7 - apb: 80000f00 - 80001000 -grlib> fla - - Intel-style 16-bit flash on D[31:16] - - Manuf. Intel - Device Strataflash P30 - - Device ID 02e44603e127ffff - User ID ffffffffffffffff - - - 1 x 32 Mbyte = 32 Mbyte total @ 0x00000000 - - - CFI info - flash family : 1 - flash size : 256 Mbit - erase regions : 2 - erase blocks : 259 - write buffer : 1024 bytes - lock-down : yes - region 0 : 255 blocks of 128 Kbytes - region 1 : 4 blocks of 32 Kbytes - -grlib> lo ~/ibm/src/bench/leonbench/coremark.exe -section: .text at 0x40000000, size 102544 bytes -section: .data at 0x40019090, size 2788 bytes -total size: 105332 bytes (1.2 Mbit/s) -read 272 symbols -entry point: 0x40000000 -grlib> run -2K performance run parameters for coremark. -CoreMark Size : 666 -Total ticks : 19945918 -Total time (secs): 19.945918 -Iterations/Sec : 100.271143 -Iterations : 2000 -Compiler version : GCC4.4.2 -Compiler flags : -O3 -mv8 -funroll-loops -fgcse-sm -combine -DPERFORMANCE_RUN=1 -mcpu=v8 -msoft-float -Memory location : STACK -seedcrc : 0xe9f5 -[0]crclist : 0xe714 -[0]crcmatrix : 0x1fd7 -[0]crcstate : 0x8e3a -[0]crcfinal : 0x4983 -Correct operation validated. See readme.txt for run and reporting rules. -CoreMark 1.0 : 100.271143 / GCC4.4.2 -O3 -mv8 -funroll-loops -fgcse-sm -combine -DPERFORMANCE_RUN=1 -mcpu=v8 -msoft-float / Stack - -Program exited normally. -grlib> - diff --git a/designs/beaglebone-test1/ahb2mig_sp605.vhd b/designs/beaglebone-test1/ahb2mig_sp605.vhd deleted file mode 100644 --- a/designs/beaglebone-test1/ahb2mig_sp605.vhd +++ /dev/null @@ -1,508 +0,0 @@ ------------------------------------------------------------------------------- --- This file is a part of the GRLIB VHDL IP LIBRARY --- Copyright (C) 2003 - 2008, Gaisler Research --- Copyright (C) 2008 - 2012, Aeroflex Gaisler --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- --- Entity: ahb2mig_sp605 --- File: ahb2mig_sp605.vhd --- Author: Jiri Gaisler - Aeroflex Gaisler AB --- --- This is a AHB-2.0 interface for the Xilinx Spartan-6 MIG. --- One bidir 32-bit port is used for the main AHB bus, while --- a second read-only port can be enabled for a VGA frame buffer. -------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; -use grlib.devices.all; - -entity ahb2mig_sp605 is - generic( - hindex : integer := 0; - haddr : integer := 0; - hmask : integer := 16#f00#; - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - vgamst : integer := 0; - vgaburst : integer := 0 - ); - port( - mcb3_dram_dq : inout std_logic_vector(15 downto 0); - mcb3_dram_a : out std_logic_vector(12 downto 0); - mcb3_dram_ba : out std_logic_vector(2 downto 0); - mcb3_dram_ras_n : out std_logic; - mcb3_dram_cas_n : out std_logic; - mcb3_dram_we_n : out std_logic; - mcb3_dram_odt : out std_logic; - mcb3_dram_reset_n : out std_logic; - mcb3_dram_cke : out std_logic; - mcb3_dram_dm : out std_logic; - mcb3_dram_udqs : inout std_logic; - mcb3_dram_udqs_n : inout std_logic; - mcb3_rzq : inout std_logic; - mcb3_zio : inout std_logic; - mcb3_dram_udm : out std_logic; - mcb3_dram_dqs : inout std_logic; - mcb3_dram_dqs_n : inout std_logic; - mcb3_dram_ck : out std_logic; - mcb3_dram_ck_n : out std_logic; - - ahbso : out ahb_slv_out_type; - ahbsi : in ahb_slv_in_type; - ahbmi : out ahb_mst_in_type; - ahbmo : in ahb_mst_out_type; - apbi : in apb_slv_in_type; - apbo : out apb_slv_out_type; - - calib_done : out std_logic; - rst_n_syn : in std_logic; - rst_n_async : in std_logic; - clk_amba : in std_logic; - clk_mem_p : in std_logic; - clk_mem_n : in std_logic; - clk_125 : out std_logic; - clk_50 : out std_logic - ); -end ; - -architecture rtl of ahb2mig_sp605 is - -type bstate_type is (idle, start, read1); - -constant hconfig : ahb_config_type := ( - 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, 0, 0), - 4 => ahb_membar(haddr, '1', '1', hmask), --- 5 => ahb_iobar(ioaddr, iomask), - others => zero32); - -constant pconfig : apb_config_type := ( - 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_MIGDDR2, 0, 0, 0), - 1 => apb_iobar(paddr, pmask)); - -type reg_type is record - bstate : bstate_type; - cmd_bl : std_logic_vector(5 downto 0); - wr_count : std_logic_vector(6 downto 0); - rd_cnt : std_logic_vector(5 downto 0); - hready : std_logic; - hsel : std_logic; - hwrite : std_logic; - htrans : std_logic_vector(1 downto 0); - hburst : std_logic_vector(2 downto 0); - hsize : std_logic_vector(2 downto 0); - hrdata : std_logic_vector(31 downto 0); - haddr : std_logic_vector(31 downto 0); - hmaster : std_logic_vector(3 downto 0); -end record; - -type mcb_type is record - cmd_en : std_logic; - cmd_instr : std_logic_vector(2 downto 0); - cmd_empty : std_logic; - cmd_full : std_logic; - cmd_bl : std_logic_vector(5 downto 0); - cmd_byte_addr : std_logic_vector(29 downto 0); - wr_full : std_logic; - wr_empty : std_logic; - wr_underrun : std_logic; - wr_error : std_logic; - wr_mask : std_logic_vector(3 downto 0); - wr_en : std_logic; - wr_data : std_logic_vector(31 downto 0); - wr_count : std_logic_vector(6 downto 0); - rd_data : std_logic_vector(31 downto 0); - rd_full : std_logic; - rd_empty : std_logic; - rd_count : std_logic_vector(6 downto 0); - rd_overflow : std_logic; - rd_error : std_logic; - rd_en : std_logic; -end record; - -type reg2_type is record - bstate : bstate_type; - cmd_bl : std_logic_vector(5 downto 0); - rd_cnt : std_logic_vector(5 downto 0); - hready : std_logic; - hsel : std_logic; - hrdata : std_logic_vector(31 downto 0); - haddr : std_logic_vector(31 downto 0); -end record; - -type p2_if_type is record - cmd_en : std_logic; - cmd_instr : std_logic_vector(2 downto 0); - cmd_bl : std_logic_vector(5 downto 0); - cmd_empty : std_logic; - cmd_full : std_logic; - rd_en : std_logic; - rd_data : std_logic_vector(31 downto 0); - rd_full : std_logic; - rd_empty : std_logic; - rd_count : std_logic_vector(6 downto 0); - rd_overflow : std_logic; - rd_error : std_logic; -end record; - -signal r, rin : reg_type; -signal r2, r2in : reg2_type; -signal i : mcb_type; -signal p2 : p2_if_type; - -begin - - comb: process( rst_n_syn, r, ahbsi, i ) - variable v : reg_type; - variable wmask : std_logic_vector(3 downto 0); - variable wr_en : std_logic; - variable cmd_en : std_logic; - variable cmd_instr : std_logic_vector(2 downto 0); - variable rd_en : std_logic; - variable cmd_bl : std_logic_vector(5 downto 0); - variable hwdata : std_logic_vector(31 downto 0); - variable readdata : std_logic_vector(31 downto 0); - begin - v := r; wr_en := '0'; cmd_en := '0'; cmd_instr := "000"; - rd_en := '0'; - - if (ahbsi.hready = '1') then - if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '1' then - v.hsel := '1'; v.hburst := ahbsi.hburst; - v.hwrite := ahbsi.hwrite; v.hsize := ahbsi.hsize; - v.hmaster := ahbsi.hmaster; - v.hready := '0'; - if ahbsi.htrans(0) = '0' then v.haddr := ahbsi.haddr; end if; - else - v.hsel := '0'; v.hready := '1'; - end if; - v.htrans := ahbsi.htrans; - end if; - - hwdata := ahbsi.hwdata(15 downto 0) & ahbsi.hwdata(31 downto 16); - case r.hsize(1 downto 0) is - when "00" => wmask := not decode(r.haddr(1 downto 0)); - case r.haddr(1 downto 0) is - when "00" => wmask := "1101"; - when "01" => wmask := "1110"; - when "10" => wmask := "0111"; - when others => wmask := "1011"; - end case; - when "01" => wmask := not decode(r.haddr(1 downto 0)); - wmask(3) := wmask(2); wmask(1) := wmask(0); - when others => wmask := "0000"; - end case; - - i.wr_mask <= wmask; - cmd_bl := r.cmd_bl; - - case r.bstate is - when idle => - if v.hsel = '1' then - v.bstate := start; - v.hready := ahbsi.hwrite and not i.cmd_full and not i.wr_full; - v.haddr := ahbsi.haddr; - end if; - v.cmd_bl := (others => '0'); - when start => - if r.hwrite = '1' then - v.haddr := r.haddr; - if r.hready = '1' then - v.cmd_bl := r.cmd_bl + 1; v.hready := '1'; wr_en := '1'; - if (ahbsi.htrans /= "11") then - if v.hsel = '1' then - if (ahbsi.hwrite = '0') or (i.wr_count >= "0000100") then - v.hready := '0'; - else v.hready := '1'; end if; - else v.bstate := idle; end if; - v.cmd_bl := (others => '0'); v.haddr := ahbsi.haddr; - cmd_en := '1'; - elsif (i.cmd_full = '1') then - v.hready := '0'; - elsif (i.wr_count >= "0101111") then - v.hready := '0'; cmd_en := '1'; - v.cmd_bl := (others => '0'); v.haddr := ahbsi.haddr; - end if; - else - if (i.cmd_full = '0') and (i.wr_count <= "0001111") then - v.hready := '1'; - end if; - end if; - else - if i.cmd_full = '0' then - cmd_en := '1'; cmd_instr(0) := '1'; - v.cmd_bl := "000" & not r.haddr(4 downto 2); - cmd_bl := v.cmd_bl; - v.bstate := read1; - end if; - end if; - when read1 => - v.hready := '0'; - if (r.rd_cnt = "000000") then -- flush data from previous line - if (i.rd_empty = '0') or ((r.hready = '1') and (ahbsi.htrans /= "11")) then - v.hrdata(31 downto 0) := i.rd_data(15 downto 0) & i.rd_data(31 downto 16); - v.hready := '1'; - if (i.rd_empty = '0') then v.cmd_bl := r.cmd_bl - 1; rd_en := '1'; end if; - if (r.cmd_bl = "000000") or (ahbsi.htrans /= "11") then - if (ahbsi.hsel(hindex) = '1') and (ahbsi.htrans = "10") and (r.hready = '1') then - v.bstate := start; v.hready := ahbsi.hwrite and not i.cmd_full and not i.wr_full; - v.cmd_bl := (others => '0'); - else - v.bstate := idle; - end if; - if (i.rd_empty = '1') then v.rd_cnt := r.cmd_bl + 1; - else v.rd_cnt := r.cmd_bl; end if; - end if; - end if; - end if; - when others => - end case; - - readdata := (others => '0'); --- case apbi.paddr(5 downto 2) is --- when "0000" => readdata(nbits-1 downto 0) := r.din2; --- when "0001" => readdata(nbits-1 downto 0) := r.dout; --- when others => --- end case; - - readdata(20 downto 0) := - i.rd_error & i.rd_overflow & i.wr_error & i.wr_underrun & - i.cmd_full & i.rd_full & i.rd_empty & i.wr_full & i.wr_empty & - r.rd_cnt & r.cmd_bl; - - if (r.rd_cnt /= "000000") and (i.rd_empty = '0') then - rd_en := '1'; v.rd_cnt := r.rd_cnt - 1; - end if; - - if rst_n_syn = '0' then - v.rd_cnt := "000000"; v.bstate := idle; v.hready := '1'; - end if; - - rin <= v; - apbo.prdata <= readdata; - - i.rd_en <= rd_en; - i.wr_en <= wr_en; - i.cmd_bl <= cmd_bl; - i.cmd_en <= cmd_en; - i.cmd_instr <= cmd_instr; - i.wr_data <= hwdata; - - end process; - - i.cmd_byte_addr <= r.haddr(29 downto 2) & "00"; - ahbso.hready <= r.hready; - ahbso.hresp <= "00"; --r.hresp; - ahbso.hrdata <= r.hrdata; - - ahbso.hconfig <= hconfig; - ahbso.hirq <= (others => '0'); - ahbso.hindex <= hindex; - ahbso.hsplit <= (others => '0'); - ahbso.hcache <= '1'; - - apbo.pindex <= pindex; - apbo.pconfig <= pconfig; - apbo.pirq <= (others => '0'); - - regs : process(clk_amba) - begin - if rising_edge(clk_amba) then - r <= rin; - end if; - end process; - - - port2 : if vgamst /= 0 generate - comb2: process( rst_n_syn, r2, ahbmo, p2 ) - variable v2 : reg2_type; - variable cmd_en : std_logic; - variable rd_en : std_logic; - begin - v2 := r2; cmd_en := '0'; rd_en := '0'; - - case r2.bstate is - when idle => - if ahbmo.htrans(1) = '1' then - v2.bstate := start; - v2.hready := '0'; - v2.haddr := ahbmo.haddr; - else v2.hready := '1'; end if; - v2.cmd_bl := (others => '0'); - when start => - if p2.cmd_full = '0' then - cmd_en := '1'; - v2.cmd_bl := conv_std_logic_vector(vgaburst-1, 6); - v2.bstate := read1; - end if; - when read1 => - v2.hready := '0'; - if (r2.rd_cnt = "000000") then -- flush data from previous line - if (p2.rd_empty = '0') or ((r2.hready = '1') and (ahbmo.htrans /= "11")) then - v2.hrdata(31 downto 0) := p2.rd_data(15 downto 0) & p2.rd_data(31 downto 16); - v2.hready := '1'; - if (p2.rd_empty = '0') then v2.cmd_bl := r2.cmd_bl - 1; rd_en := '1'; end if; - if (r2.cmd_bl = "000000") or (ahbmo.htrans /= "11") then - if (ahbmo.htrans = "10") and (r2.hready = '1') then - v2.bstate := start; v2.hready := '0'; - v2.cmd_bl := (others => '0'); - else - v2.bstate := idle; - end if; - if (p2.rd_empty = '1') then v2.rd_cnt := r2.cmd_bl + 1; - else v2.rd_cnt := r2.cmd_bl; end if; - end if; - end if; - end if; - when others => - end case; - - if (r2.rd_cnt /= "000000") and (p2.rd_empty = '0') then - rd_en := '1'; v2.rd_cnt := r2.rd_cnt - 1; - end if; - v2.haddr(1 downto 0) := "00"; - - if rst_n_syn = '0' then - v2.rd_cnt := "000000"; v2.bstate := idle; v2.hready := '1'; - end if; - - r2in <= v2; - - p2.rd_en <= rd_en; - p2.cmd_bl <= v2.cmd_bl; - p2.cmd_en <= cmd_en; - p2.cmd_instr <= "001"; - - end process; - - ahbmi.hrdata <= r2.hrdata; - ahbmi.hresp <= "00"; - ahbmi.hgrant <= (others => '1'); - ahbmi.hready <= r2.hready; - ahbmi.hcache <= '0'; - ahbmi.hirq <= (others => '0'); - ahbmi.testen <= '0'; - ahbmi.testrst <= '0'; - ahbmi.scanen <= '0'; - ahbmi.testoen <= '0'; - - regs : process(clk_amba) - begin - if rising_edge(clk_amba) then - r2 <= r2in; - end if; - end process; - - end generate; - - noport2 : if vgamst = 0 generate - p2.cmd_en <= '0'; - p2.rd_en <= '0'; - end generate; - - MCB_inst : entity work.mig_38 generic map( - - C3_P0_MASK_SIZE => 4, - C3_P0_DATA_PORT_SIZE => 32, - C3_P1_MASK_SIZE => 4, - C3_P1_DATA_PORT_SIZE => 32, --- C3_MEMCLK_PERIOD => 5000, - C3_RST_ACT_LOW => 1, - C3_INPUT_CLK_TYPE => "DIFFERENTIAL", - C3_CALIB_SOFT_IP => "TRUE", --- pragma translate_off - C3_SIMULATION => "TRUE", --- pragma translate_on - C3_MEM_ADDR_ORDER => "BANK_ROW_COLUMN", - C3_NUM_DQ_PINS => 16, - C3_MEM_ADDR_WIDTH => 13, - C3_MEM_BANKADDR_WIDTH => 3 - - ) - port map ( - mcb3_dram_dq => mcb3_dram_dq, - mcb3_dram_a => mcb3_dram_a, - mcb3_dram_ba => mcb3_dram_ba, - mcb3_dram_ras_n => mcb3_dram_ras_n, - mcb3_dram_cas_n => mcb3_dram_cas_n, - mcb3_dram_we_n => mcb3_dram_we_n, - mcb3_dram_odt => mcb3_dram_odt, - mcb3_dram_reset_n => mcb3_dram_reset_n, - mcb3_dram_cke => mcb3_dram_cke, - mcb3_dram_dm => mcb3_dram_dm, - mcb3_dram_udqs => mcb3_dram_udqs, - mcb3_dram_udqs_n => mcb3_dram_udqs_n, - mcb3_rzq => mcb3_rzq, - mcb3_zio => mcb3_zio, - mcb3_dram_udm => mcb3_dram_udm, - c3_sys_clk_p => clk_mem_p, - c3_sys_clk_n => clk_mem_n, - c3_sys_rst_i => rst_n_async, - c3_calib_done => calib_done, - c3_clk0 => open, - c3_rst0 => open, - mcb3_dram_dqs => mcb3_dram_dqs, - mcb3_dram_dqs_n => mcb3_dram_dqs_n, - mcb3_dram_ck => mcb3_dram_ck, - mcb3_dram_ck_n => mcb3_dram_ck_n, - c3_p0_cmd_clk => clk_amba, - c3_p0_cmd_en => i.cmd_en, - c3_p0_cmd_instr => i.cmd_instr, - c3_p0_cmd_bl => i.cmd_bl, - c3_p0_cmd_byte_addr => i.cmd_byte_addr, - c3_p0_cmd_empty => i.cmd_empty, - c3_p0_cmd_full => i.cmd_full, - c3_p0_wr_clk => clk_amba, - c3_p0_wr_en => i.wr_en, - c3_p0_wr_mask => i.wr_mask, - c3_p0_wr_data => i.wr_data, - c3_p0_wr_full => i.wr_full, - c3_p0_wr_empty => i.wr_empty, - c3_p0_wr_count => i.wr_count, - c3_p0_wr_underrun => i.wr_underrun, - c3_p0_wr_error => i.wr_error, - c3_p0_rd_clk => clk_amba, - c3_p0_rd_en => i.rd_en, - c3_p0_rd_data => i.rd_data, - c3_p0_rd_full => i.rd_full, - c3_p0_rd_empty => i.rd_empty, - c3_p0_rd_count => i.rd_count, - c3_p0_rd_overflow => i.rd_overflow, - c3_p0_rd_error => i.rd_error, - c3_p2_cmd_clk => clk_amba, - c3_p2_cmd_en => p2.cmd_en, - c3_p2_cmd_instr => p2.cmd_instr, - c3_p2_cmd_bl => p2.cmd_bl, - c3_p2_cmd_byte_addr => r2.haddr(29 downto 0), - c3_p2_cmd_empty => p2.cmd_empty, - c3_p2_cmd_full => p2.cmd_full, - c3_p2_rd_clk => clk_amba, - c3_p2_rd_en => p2.rd_en, - c3_p2_rd_data => p2.rd_data, - c3_p2_rd_full => p2.rd_full, - c3_p2_rd_empty => p2.rd_empty, - c3_p2_rd_count => p2.rd_count, - c3_p2_rd_overflow => p2.rd_overflow, - c3_p2_rd_error => p2.rd_error, - clk_125 => clk_125, - clk_50 => clk_50 - ); - -end; diff --git a/designs/beaglebone-test1/ahbrom.vhd b/designs/beaglebone-test1/ahbrom.vhd deleted file mode 100644 --- a/designs/beaglebone-test1/ahbrom.vhd +++ /dev/null @@ -1,232 +0,0 @@ - ----------------------------------------------------------------------------- --- This file is a part of the GRLIB VHDL IP LIBRARY --- Copyright (C) 2009 Aeroflex Gaisler ----------------------------------------------------------------------------- --- Entity: ahbrom --- File: ahbrom.vhd --- Author: Jiri Gaisler - Gaisler Research --- Description: AHB rom. 0/1-waitstate read ----------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; -use grlib.devices.all; - -entity ahbrom is - generic ( - hindex : integer := 0; - haddr : integer := 0; - hmask : integer := 16#fff#; - pipe : integer := 0; - tech : integer := 0; - kbytes : integer := 1); - port ( - rst : in std_ulogic; - clk : in std_ulogic; - ahbsi : in ahb_slv_in_type; - ahbso : out ahb_slv_out_type - ); -end; - -architecture rtl of ahbrom is -constant abits : integer := 10; -constant bytes : integer := 560; - -constant hconfig : ahb_config_type := ( - 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0), - 4 => ahb_membar(haddr, '1', '1', hmask), others => zero32); - -signal romdata : std_logic_vector(31 downto 0); -signal addr : std_logic_vector(abits-1 downto 2); -signal hsel, hready : std_ulogic; - -begin - - ahbso.hresp <= "00"; - ahbso.hsplit <= (others => '0'); - ahbso.hirq <= (others => '0'); - ahbso.hcache <= '1'; - ahbso.hconfig <= hconfig; - ahbso.hindex <= hindex; - - reg : process (clk) - begin - if rising_edge(clk) then - addr <= ahbsi.haddr(abits-1 downto 2); - end if; - end process; - - p0 : if pipe = 0 generate - ahbso.hrdata <= ahbdrivedata(romdata); - ahbso.hready <= '1'; - end generate; - - p1 : if pipe = 1 generate - reg2 : process (clk) - begin - if rising_edge(clk) then - hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1); - hready <= ahbsi.hready; - ahbso.hready <= (not rst) or (hsel and hready) or - (ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready); - ahbso.hrdata <= ahbdrivedata(romdata); - end if; - end process; - end generate; - - comb : process (addr) - begin - case conv_integer(addr) is - when 16#00000# => romdata <= X"81D82000"; - when 16#00001# => romdata <= X"03000004"; - when 16#00002# => romdata <= X"821060E0"; - when 16#00003# => romdata <= X"81884000"; - when 16#00004# => romdata <= X"81900000"; - when 16#00005# => romdata <= X"81980000"; - when 16#00006# => romdata <= X"81800000"; - when 16#00007# => romdata <= X"A1800000"; - when 16#00008# => romdata <= X"01000000"; - when 16#00009# => romdata <= X"03002040"; - when 16#0000A# => romdata <= X"8210600F"; - when 16#0000B# => romdata <= X"C2A00040"; - when 16#0000C# => romdata <= X"84100000"; - when 16#0000D# => romdata <= X"01000000"; - when 16#0000E# => romdata <= X"01000000"; - when 16#0000F# => romdata <= X"01000000"; - when 16#00010# => romdata <= X"01000000"; - when 16#00011# => romdata <= X"01000000"; - when 16#00012# => romdata <= X"80108002"; - when 16#00013# => romdata <= X"01000000"; - when 16#00014# => romdata <= X"01000000"; - when 16#00015# => romdata <= X"01000000"; - when 16#00016# => romdata <= X"01000000"; - when 16#00017# => romdata <= X"01000000"; - when 16#00018# => romdata <= X"87444000"; - when 16#00019# => romdata <= X"8608E01F"; - when 16#0001A# => romdata <= X"88100000"; - when 16#0001B# => romdata <= X"8A100000"; - when 16#0001C# => romdata <= X"8C100000"; - when 16#0001D# => romdata <= X"8E100000"; - when 16#0001E# => romdata <= X"A0100000"; - when 16#0001F# => romdata <= X"A2100000"; - when 16#00020# => romdata <= X"A4100000"; - when 16#00021# => romdata <= X"A6100000"; - when 16#00022# => romdata <= X"A8100000"; - when 16#00023# => romdata <= X"AA100000"; - when 16#00024# => romdata <= X"AC100000"; - when 16#00025# => romdata <= X"AE100000"; - when 16#00026# => romdata <= X"90100000"; - when 16#00027# => romdata <= X"92100000"; - when 16#00028# => romdata <= X"94100000"; - when 16#00029# => romdata <= X"96100000"; - when 16#0002A# => romdata <= X"98100000"; - when 16#0002B# => romdata <= X"9A100000"; - when 16#0002C# => romdata <= X"9C100000"; - when 16#0002D# => romdata <= X"9E100000"; - when 16#0002E# => romdata <= X"86A0E001"; - when 16#0002F# => romdata <= X"16BFFFEF"; - when 16#00030# => romdata <= X"81E00000"; - when 16#00031# => romdata <= X"82102002"; - when 16#00032# => romdata <= X"81904000"; - when 16#00033# => romdata <= X"03000004"; - when 16#00034# => romdata <= X"821060E0"; - when 16#00035# => romdata <= X"81884000"; - when 16#00036# => romdata <= X"01000000"; - when 16#00037# => romdata <= X"01000000"; - when 16#00038# => romdata <= X"01000000"; - when 16#00039# => romdata <= X"83480000"; - when 16#0003A# => romdata <= X"8330600C"; - when 16#0003B# => romdata <= X"80886001"; - when 16#0003C# => romdata <= X"02800024"; - when 16#0003D# => romdata <= X"01000000"; - when 16#0003E# => romdata <= X"07000000"; - when 16#0003F# => romdata <= X"8610E178"; - when 16#00040# => romdata <= X"C108C000"; - when 16#00041# => romdata <= X"C118C000"; - when 16#00042# => romdata <= X"C518C000"; - when 16#00043# => romdata <= X"C918C000"; - when 16#00044# => romdata <= X"CD18C000"; - when 16#00045# => romdata <= X"D118C000"; - when 16#00046# => romdata <= X"D518C000"; - when 16#00047# => romdata <= X"D918C000"; - when 16#00048# => romdata <= X"DD18C000"; - when 16#00049# => romdata <= X"E118C000"; - when 16#0004A# => romdata <= X"E518C000"; - when 16#0004B# => romdata <= X"E918C000"; - when 16#0004C# => romdata <= X"ED18C000"; - when 16#0004D# => romdata <= X"F118C000"; - when 16#0004E# => romdata <= X"F518C000"; - when 16#0004F# => romdata <= X"F918C000"; - when 16#00050# => romdata <= X"FD18C000"; - when 16#00051# => romdata <= X"01000000"; - when 16#00052# => romdata <= X"01000000"; - when 16#00053# => romdata <= X"01000000"; - when 16#00054# => romdata <= X"01000000"; - when 16#00055# => romdata <= X"01000000"; - when 16#00056# => romdata <= X"89A00842"; - when 16#00057# => romdata <= X"01000000"; - when 16#00058# => romdata <= X"01000000"; - when 16#00059# => romdata <= X"01000000"; - when 16#0005A# => romdata <= X"01000000"; - when 16#0005B# => romdata <= X"10800005"; - when 16#0005C# => romdata <= X"01000000"; - when 16#0005D# => romdata <= X"01000000"; - when 16#0005E# => romdata <= X"00000000"; - when 16#0005F# => romdata <= X"00000000"; - when 16#00060# => romdata <= X"87444000"; - when 16#00061# => romdata <= X"8730E01C"; - when 16#00062# => romdata <= X"8688E00F"; - when 16#00063# => romdata <= X"12800015"; - when 16#00064# => romdata <= X"03200000"; - when 16#00065# => romdata <= X"05040E00"; - when 16#00066# => romdata <= X"8410A033"; - when 16#00067# => romdata <= X"C4204000"; - when 16#00068# => romdata <= X"0539AE1B"; - when 16#00069# => romdata <= X"8410A260"; - when 16#0006A# => romdata <= X"C4206004"; - when 16#0006B# => romdata <= X"050003FC"; - when 16#0006C# => romdata <= X"C4206008"; - when 16#0006D# => romdata <= X"82103860"; - when 16#0006E# => romdata <= X"C4004000"; - when 16#0006F# => romdata <= X"8530A00C"; - when 16#00070# => romdata <= X"03000004"; - when 16#00071# => romdata <= X"82106009"; - when 16#00072# => romdata <= X"80A04002"; - when 16#00073# => romdata <= X"12800005"; - when 16#00074# => romdata <= X"03200000"; - when 16#00075# => romdata <= X"0539A81B"; - when 16#00076# => romdata <= X"8410A260"; - when 16#00077# => romdata <= X"C4204000"; - when 16#00078# => romdata <= X"05000080"; - when 16#00079# => romdata <= X"82100000"; - when 16#0007A# => romdata <= X"80A0E000"; - when 16#0007B# => romdata <= X"02800005"; - when 16#0007C# => romdata <= X"01000000"; - when 16#0007D# => romdata <= X"82004002"; - when 16#0007E# => romdata <= X"10BFFFFC"; - when 16#0007F# => romdata <= X"8620E001"; - when 16#00080# => romdata <= X"3D1003FF"; - when 16#00081# => romdata <= X"BC17A3E0"; - when 16#00082# => romdata <= X"BC278001"; - when 16#00083# => romdata <= X"9C27A060"; - when 16#00084# => romdata <= X"03100000"; - when 16#00085# => romdata <= X"81C04000"; - when 16#00086# => romdata <= X"01000000"; - when 16#00087# => romdata <= X"01000000"; - when 16#00088# => romdata <= X"00000000"; - when 16#00089# => romdata <= X"00000000"; - when 16#0008A# => romdata <= X"00000000"; - when 16#0008B# => romdata <= X"00000000"; - when 16#0008C# => romdata <= X"00000000"; - when others => romdata <= (others => '-'); - end case; - end process; - -- pragma translate_off - bootmsg : report_version - generic map ("ahbrom" & tost(hindex) & - ": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" ); - -- pragma translate_on - end; diff --git a/designs/beaglebone-test1/config.help b/designs/beaglebone-test1/config.help deleted file mode 100644 --- a/designs/beaglebone-test1/config.help +++ /dev/null @@ -1,1030 +0,0 @@ - - -Prompt for target technology -CONFIG_SYN_INFERRED - Selects the target technology for memory and pads. - The following are available: - - - Inferred: Generic FPGA or ASIC targets if your synthesis tool - is capable of inferring RAMs and pads automatically. - - - Actel ProAsic/P/3, IGLOO and Axcelerator FPGAs - - Aeroflex UT25CRH Rad-Hard 0.25 um CMOS - - Altera: Most Altera FPGA families - - Altera-Stratix: Altera Stratix FPGA family - - Altera-StratixII: Altera Stratix-II FPGA family - - ATC18: Atmel-Nantes 0.18 um rad-hard CMOS - - IHP25: IHP 0.25 um CMOS - - IHP25RH: IHP Rad-Hard 0.25 um CMOS - - Lattice : EC/ECP/XP FPGAs - - Quicklogic : Eclipse/E/II FPGAs - - UMC-0.18 : UMC 0.18 um CMOS with Virtual Silicon libraries - - Xilinx-Spartan/2/3: Xilinx Spartan/2/3 libraries - - Xilinx-Spartan3E: Xilinx Spartan3E libraries - - Xilinx-Virtex/E: Xilinx Virtex/E libraries - - Xilinx-Virtex2/4/5: Xilinx Virtex2/4/5 libraries - - -Ram library -CONFIG_MEM_VIRAGE - Select RAM generators for ASIC targets. - -Infer ram -CONFIG_SYN_INFER_RAM - Say Y here if you want the synthesis tool to infer your - RAM automatically. Say N to directly instantiate technology- - specific RAM cells for the selected target technology package. - -Infer pads -CONFIG_SYN_INFER_PADS - Say Y here if you want the synthesis tool to infer pads. - Say N to directly instantiate technology-specific pads from - the selected target technology package. - -No async reset -CONFIG_SYN_NO_ASYNC - Say Y here if you disable asynchronous reset in some of the IP cores. - Might be necessary if the target library does not have cells with - asynchronous set/reset. - -Scan support -CONFIG_SYN_SCAN - Say Y here to enable scan support in some cores. This will enable - the scan support generics where available and add logic to make - the design testable using full-scan. - -Use Virtex CLKDLL for clock synchronisation -CONFIG_CLK_INFERRED - Certain target technologies include clock generators to scale or - phase-adjust the system and SDRAM clocks. This is currently supported - for Xilinx, Altera and Proasic3 FPGAs. Depending on technology, you - can select to use the Xilinx CKLDLL macro (Virtex, VirtexE, Spartan1/2), - the Xilinx DCM (Virtex-2, Spartan3, Virtex-4), the Altera ALTDLL - (Stratix, Cyclone), or the Proasic3 PLL. Choose the 'inferred' - option to skip a clock generator. - -Clock multiplier -CONFIG_CLK_MUL - When using the Xilinx DCM or Altera ALTPLL, the system clock can - be multiplied with a factor of 2 - 32, and divided by a factor of - 1 - 32. This makes it possible to generate almost any desired - processor frequency. When using the Xilinx CLKDLL generator, - the resulting frequency scale factor (mul/div) must be one of - 1/2, 1 or 2. On Proasic3, the factor can be 1 - 128. - - WARNING: The resulting clock must be within the limits specified - by the target FPGA family. - -Clock divider -CONFIG_CLK_DIV - When using the Xilinx DCM or Altera ALTPLL, the system clock can - be multiplied with a factor of 2 - 32, and divided by a factor of - 1 - 32. This makes it possible to generate almost any desired - processor frequency. When using the Xilinx CLKDLL generator, - the resulting frequency scale factor (mul/div) must be one of - 1/2, 1 or 2. On Proasic3, the factor can be 1 - 128. - - WARNING: The resulting clock must be within the limits specified - by the target FPGA family. - -Output clock divider -CONFIG_OCLK_DIV - When using the Proasic3 PLL, the system clock is generated by three - parameters: input clock multiplication, input clock division and - output clock division. Only certain values of these parameters - are allowed, but unfortunately this is not documented by Actel. - To find the correct values, run the Libero Smartgen tool and - insert you desired input and output clock frequencies in the - Static PLL configurator. The mul/div factors can then be read - out from tool. - -Output clock divider, 2nd clock -CONFIG_OCLKB_DIV - See help for 'Ouput division factor'. Set this to 0 to disable the - second clock output. - -Output clock divider, 3rd clock -CONFIG_OCLKC_DIV - See help for 'Ouput division factor'. Set this to 0 to disable the - third clock output. - -System clock multiplier -CONFIG_CLKDLL_1_2 - The Xilinx CLKDLL can scale the input clock with a factor of 0.5, 1.0, - or 2.0. Useful when the target board has an oscillator with a too high - (or low) frequency for your design. The divided clock will be used as the - main clock for the whole processor (except PCI and ethernet clocks). - -System clock multiplier -CONFIG_DCM_2_3 - The Xilinx DCM and Altera ALTDLL can scale the input clock with a large - range of factors. Useful when the target board has an oscillator with a - too high (or low) frequency for your design. The divided clock will - be used as the main clock for the whole processor (except PCI and - ethernet clocks). NOTE: the resulting frequency must be at least - 24 MHz or the DCM and ALTDLL might not work. - -Enable CLKDLL for PCI clock -CONFIG_PCI_CLKDLL - Say Y here to re-synchronize the PCI clock using a - Virtex BUFGDLL macro. Will improve PCI clock-to-output - delays on the expense of input-setup requirements. - -Use PCI clock system clock -CONFIG_PCI_SYSCLK - Say Y here to the PCI clock to generate the system clock. - The PCI clock can be scaled using the DCM or CLKDLL to - generate a suitable processor clock. - -External SDRAM clock feedback -CONFIG_CLK_NOFB - Say Y here to disable the external clock feedback to synchronize the - SDRAM clock. This option is necessary if your board or design does not - have an external clock feedback that is connected to the pllref input - of the clock generator. - -Number of processors -CONFIG_PROC_NUM - The number of processor cores. The LEON3MP design can accomodate - up to 4 LEON3 processor cores. Use 1 unless you know what you are - doing ... - -Number of SPARC register windows -CONFIG_IU_NWINDOWS - The SPARC architecture (and LEON) allows 2 - 32 register windows. - However, any number except 8 will require that you modify and - recompile your run-time system or kernel. Unless you know what - you are doing, use 8. - -SPARC V8 multiply and divide instruction -CONFIG_IU_V8MULDIV - If you say Y here, the SPARC V8 multiply and divide instructions - will be implemented. The instructions are: UMUL, UMULCC, SMUL, - SMULCC, UDIV, UDIVCC, SDIV, SDIVCC. In code containing frequent - integer multiplications and divisions, significant performance - increase can be achieved. Emulated floating-point operations will - also benefit from this option. - - By default, the gcc compiler does not emit multiply or divide - instructions and your code must be compiled with -mv8 to see any - performance increase. On the other hand, code compiled with -mv8 - will generate an illegal instruction trap when executed on processors - with this option disabled. - - The divider consumes approximately 2 kgates, the multiplier 6 kgates. - -Multiplier latency -CONFIG_IU_MUL_LATENCY_2 - Implementation options for the integer multiplier. - - Type Implementation issue-rate/latency - 2-clocks 32x32 pipelined multiplier 1/2 - 4-clocks 16x16 standard multiplier 4/4 - 5-clocks 16x16 pipelined multiplier 4/5 - -MAC operation -CONFIG_IU_MUL_MAC - If you say Y here, the SPARC V8e UMAC/SMAC (multiply-accumulate) - instructions will be enabled. The instructions implement a - single-cycle 16x16->32 bits multiply with a 40-bits accumulator. - The details of these instructions can be found in the LEON manual, - This option is only available when 16x16 multiplier is used. - -Multiplier structure -CONFIG_IU_MUL_INFERRED - Structure options for the integer multiplier. The multiplier - can be implemented with the following structures: - - * Inferred by the synthesis tool - * Generated using Module Generators from NTNU - * Using technology specific netlists (TechSpec) - * Using Synopsys Designware (DW02_mult and DW_mult_pipe) - -Branch prediction -CONFIG_IU_BP - Enabling branch prediction will improve performance with - up to 20%, depending on application. The timing and area - overhead are minor, so it is recommended to always enable - this option. - -Single vector trapping -CONFIG_IU_SVT - Single-vector trapping is a SPARC V8e option to reduce code-size - in small applications. If enabled, the processor will jump to - the address of trap 0 (tt = 0x00) for all traps. No trap table - is then needed. The trap type is present in %psr.tt and must - be decoded by the O/S. Saves 4 Kbyte of code, but increases - trap and interrupt overhead. Currently, the only O/S supporting - this option is eCos. To enable SVT, the O/S must also set bit 13 - in %asr17. - -Load latency -CONFIG_IU_LDELAY - Defines the pipeline load delay (= pipeline cycles before the data - from a load instruction is available for the next instruction). - One cycle gives best performance, but might create a critical path - on targets with slow (data) cache memories. A 2-cycle delay can - improve timing but will reduce performance with about 5%. - -Reset address -CONFIG_IU_RSTADDR - By default, a SPARC processor starts execution at address 0. - With this option, any 4-kbyte aligned reset start address can be - choosen. Keep at 0 unless you really know what you are doing. - -No tagged arithmetic -CONFIG_NOTAG - Say Y here to disable tagged arithmetic and the CASA instructions. - This will save some area in minimal systems that do not need - these features. - -Power-down -CONFIG_PWD - Say Y here to enable the power-down feature of the processor. - Might reduce the maximum frequency slightly on FPGA targets. - For details on the power-down operation, see the LEON3 manual. - -Hardware watchpoints -CONFIG_IU_WATCHPOINTS - The processor can have up to 4 hardware watchpoints, allowing to - create both data and instruction breakpoints at any memory location, - also in PROM. Each watchpoint will use approximately 500 gates. - Use 0 to disable the watchpoint function. - -Floating-point enable -CONFIG_FPU_ENABLE - Say Y here to enable the floating-point interface for the MEIKO - or GRFPU. Note that no FPU's are provided with the GPL version - of GRLIB. Both the Gaisler GRFPU and the Meiko FPU are commercial - cores and must be obtained separately. - -FPU selection -CONFIG_FPU_GRFPU - Select between Gaisler Research's GRFPU and GRFPU-lite FPUs or the Sun - Meiko FPU core. All cores are fully IEEE-754 compatible and support - all SPARC FPU instructions. - -GRFPU Multiplier -CONFIG_FPU_GRFPU_INFMUL - On FPGA targets choose inferred multiplier. For ASIC implementations - choose between Synopsys Design Ware (DW) multiplier or Module - Generator (ModGen) multiplier. The DW multiplier gives better results - (smaller area and better timing) but requires a DW license. - The ModGen multiplier is part of GRLIB and does not require a license. - The Technology Specific multiplier option selects a pre-designed - multiplier using technology specific macrocells when available, else - an inferred multiplier is used. - -Shared GRFPU -CONFIG_FPU_GRFPU_SH - If enabled multiple CPU cores will share one GRFPU. - -GRFPC Configuration -CONFIG_FPU_GRFPC0 - Configures the GRFPU-LITE controller. - - In simple configuration controller executes FP instructions - in parallel with integer instructions. FP operands are fetched - in the register file stage and the result is written in the write - stage. This option uses least area resources. - - Data forwarding configuration gives ~ 10 % higher FP performance than - the simple configuration by adding data forwarding between the pipeline - stages. - - Non-blocking controller allows FP load and store instructions to - execute in parallel with FP instructions. The performance increase is - ~ 20 % for FP applications. This option uses most logic resources and - is suitable for ASIC implementations. - -Floating-point netlist -CONFIG_FPU_NETLIST - Say Y here to use a VHDL netlist of the GRFPU-Lite. This is - only available in certain versions of grlib. - -Enable Instruction cache -CONFIG_ICACHE_ENABLE - The instruction cache should always be enabled to allow - maximum performance. Some low-end system might want to - save area and disable the cache, but this will reduce - the performance with a factor of 2 - 3. - -Enable Data cache -CONFIG_DCACHE_ENABLE - The data cache should always be enabled to allow - maximum performance. Some low-end system might want to - save area and disable the cache, but this will reduce - the performance with a factor of 2 at least. - -Instruction cache associativity -CONFIG_ICACHE_ASSO1 - The instruction cache can be implemented as a multi-way cache with - 1 - 4 ways. Higher associativity usually increases the cache hit - rate and thereby the performance. The downside is higher power - consumption and increased gate-count for tag comparators. - - Note that a 1-way cache is effectively a direct-mapped cache. - -Instruction cache way size -CONFIG_ICACHE_SZ1 - The size of each way in the instuction cache (kbytes). Valid values - are 1 - 64 in binary steps. Note that the full range is only supported - by the generic and virtex2 targets. Most target packages are limited - to 2 - 16 kbyte. Large way size gives higher performance but might - affect the maximum frequency (on ASIC targets). The total instruction - cache size is the number of way multiplied with the way size. - -Instruction cache line size -CONFIG_ICACHE_LZ16 - The instruction cache line size. Can be set to either 16 or 32 - bytes per line. Instruction caches typically benefit from larger - line sizes, but on small caches it migh be better with 16 bytes/line - to limit eviction miss rate. - -Instruction cache replacement algorithm -CONFIG_ICACHE_ALGORND - Cache replacement algorithm for caches with 2 - 4 ways. The 'random' - algorithm selects the way to evict randomly. The least-recently-replaced - (LRR) algorithm evicts the way least recently replaced. The least- - recently-used (LRU) algorithm evicts the way least recently accessed. - The random algorithm uses a simple 1- or 2-bit counter to select - the eviction way and has low area overhead. The LRR scheme uses one - extra bit in the tag ram and has therefore also low area overhead. - However, the LRR scheme can only be used with 2-way caches. The LRU - scheme has typically the best performance but also highest area overhead. - A 2-way LRU uses 1 flip-flop per line, a 3-way LRU uses 3 flip-flops - per line, and a 4-way LRU uses 5 flip-flops per line to store the access - history. - -Instruction cache locking -CONFIG_ICACHE_LOCK - Say Y here to enable cache locking in the instruction cache. - Locking can be done on cache-line level, but will increase the - width of the tag ram with one bit. If you don't know what - locking is good for, it is safe to say N. - -Data cache associativity -CONFIG_DCACHE_ASSO1 - The data cache can be implemented as a multi-way cache with - 1 - 4 ways. Higher associativity usually increases the cache hit - rate and thereby the performance. The downside is higher power - consumption and increased gate-count for tag comparators. - - Note that a 1-way cache is effectively a direct-mapped cache. - -Data cache way size -CONFIG_DCACHE_SZ1 - The size of each way in the data cache (kbytes). Valid values are - 1 - 64 in binary steps. Note that the full range is only supported - by the generic and virtex2 targets. Most target packages are limited - to 2 - 16 kbyte. A large cache gives higher performance but the - data cache is timing critical an a too large setting might affect - the maximum frequency (on ASIC targets). The total data cache size - is the number of way multiplied with the way size. - -Data cache line size -CONFIG_DCACHE_LZ16 - The data cache line size. Can be set to either 16 or 32 bytes per - line. A smaller line size gives better associativity and higher - cache hit rate, but requires a larger tag memory. - -Data cache replacement algorithm -CONFIG_DCACHE_ALGORND - See the explanation for instruction cache replacement algorithm. - -Data cache locking -CONFIG_DCACHE_LOCK - Say Y here to enable cache locking in the data cache. - Locking can be done on cache-line level, but will increase the - width of the tag ram with one bit. If you don't know what - locking is good for, it is safe to say N. - -Data cache snooping -CONFIG_DCACHE_SNOOP - Say Y here to enable data cache snooping on the AHB bus. Is only - useful if you have additional AHB masters such as the DSU or a - target PCI interface. Note that the target technology must support - dual-port RAMs for this option to be enabled. Dual-port RAMS are - currently supported on Virtex/2, Virage and Actel targets. - -Data cache snooping implementation -CONFIG_DCACHE_SNOOP_FAST - The default snooping implementation is 'slow', which works if you - don't have AHB slaves in cacheable areas capable of zero-waitstates - non-sequential write accesses. Otherwise use 'fast' and suffer a - few kgates extra area. This option is currently only needed in - multi-master systems with the SSRAM or DDR memory controllers. - -Separate snoop tags -CONFIG_DCACHE_SNOOP_SEPTAG - Enable a separate memory to store the data tags used for snooping. - This is necessary when snooping support is wanted in systems - with MMU, typically for SMP systems. In this case, the snoop - tags will contain the physical tag address while the normal - tags contain the virtual tag address. This option can also be - together with the 'fast snooping' option to enable snooping - support on technologies without dual-port RAMs. In such case, - the snoop tag RAM will be implemented using a two-port RAM. - -Fixed cacheability map -CONFIG_CACHE_FIXED - If this variable is 0, the cacheable memory regions are defined - by the AHB plug&play information (default). To overriden the - plug&play settings, this variable can be set to indicate which - areas should be cached. The value is treated as a 16-bit hex value - with each bit defining if a 256 Mbyte segment should be cached or not. - The right-most (LSB) bit defines the cacheability of AHB address - 0 - 256 MByte, while the left-most bit (MSB) defines AHB address - 3840 - 4096 MByte. If the bit is set, the corresponding area is - cacheable. A value of 00F3 defines address 0 - 0x20000000 and - 0x40000000 - 0x80000000 as cacheable. - -Local data ram -CONFIG_DCACHE_LRAM - Say Y here to add a local ram to the data cache controller. - Accesses to the ram (load/store) will be performed at 0 waitstates - and store data will never be written back to the AHB bus. - -Size of local data ram -CONFIG_DCACHE_LRAM_SZ1 - Defines the size of the local data ram in Kbytes. Note that most - technology libraries do not support larger rams than 16 Kbyte. - -Start address of local data ram -CONFIG_DCACHE_LRSTART - Defines the 8 MSB bits of start address of the local data ram. - By default set to 8f (start address = 0x8f000000), but any value - (except 0) is possible. Note that the local data ram 'shadows' - a 16 Mbyte block of the address space. - -MMU enable -CONFIG_MMU_ENABLE - Say Y here to enable the Memory Management Unit. - -MMU split icache/dcache table lookaside buffer -CONFIG_MMU_COMBINED - Select "combined" for a combined icache/dcache table lookaside buffer, - "split" for a split icache/dcache table lookaside buffer - -MMU tlb replacement scheme -CONFIG_MMU_REPARRAY - Select "LRU" to use the "least recently used" algorithm for TLB - replacement, or "Increment" for a simple incremental replacement - scheme. - -Combined i/dcache tlb -CONFIG_MMU_I2 - Select the number of entries for the instruction TLB, or the - combined icache/dcache TLB if such is used. - -Split tlb, dcache -CONFIG_MMU_D2 - Select the number of entries for the dcache TLB. - -Fast writebuffer -CONFIG_MMU_FASTWB - Only selectable if split tlb is enabled. In case fast writebuffer is - enabled the tlb hit will be made concurrent to the cache hit. This - leads to higher store performance, but increased power and area. - -MMU pagesize -CONFIG_MMU_PAGE_4K - The deafult SPARC V8 SRMMU page size is 4 Kbyte. This limits the - cache way size to 4 Kbyte, and total data cache size to 16 Kbyte, - when the MMU is used. To increase the maximum data cache size, - the MMU pages size can be increased to up 32 Kbyte. This will - give a maximum data cache size of 128 Kbyte. - - Note that an MMU page size different than 4 Kbyte will require - a special linux tool-chain if glibc is used. If you don't know - what you are doing, stay with 4 Kbyte ... - -DSU enable -CONFIG_DSU_ENABLE - The debug support unit (DSU) allows non-intrusive debugging and tracing - of both executed instructions and AHB transfers. If you want to enable - the DSU, say Y here and select the configuration below. - -Trace buffer enable -CONFIG_DSU_TRACEBUF - Say Y to enable the trace buffer. The buffer is not necessary for - debugging, only for tracing instructions and data transfers. - -Enable instruction tracing -CONFIG_DSU_ITRACE - If you say Y here, an instruction trace buffer will be implemented - in each processor. The trace buffer will trace executed instructions - and their results, and place them in a circular buffer. The buffer - can be read out by any AHB master, and in particular by the debug - communication link. - -Size of trace buffer -CONFIG_DSU_ITRACESZ1 - Select the buffer size (in kbytes) for the instruction trace buffer. - Each line in the buffer needs 16 bytes. A 128-entry buffer will thus - need 2 kbyte. - -Enable AHB tracing -CONFIG_DSU_ATRACE - If you say Y here, an AHB trace buffer will be implemented in the - debug support unit processor. The AHB buffer will trace all transfers - on the AHB bus and save them in a circular buffer. The trace buffer - can be read out by any AHB master, and in particular by the debug - communication link. - -Size of trace buffer -CONFIG_DSU_ATRACESZ1 - Select the buffer size (in kbytes) for the AHB trace buffer. - Each line in the buffer needs 16 bytes. A 128-entry buffer will thus - need 2 kbyte. - - -LEON3FT enable -CONFIG_LEON3FT_EN - Say Y here to use the fault-tolerant LEON3FT core instead of the - standard non-FT LEON3. - -IU Register file protection -CONFIG_IUFT_NONE - Select the FT implementation in the LEON3FT integer unit - register file. The options include parity, parity with - sparing, 7-bit BCH and TMR. - -FPU Register file protection -CONFIG_FPUFT_EN - Say Y to enable SEU protection of the FPU register file. - The GRFPU will be protected using 8-bit parity without restart, while - the GRFPU-Lite will be protected with 4-bit parity with restart. If - disabled the FPU register file will be implemented using flip-flops. - -Cache memory error injection -CONFIG_RF_ERRINJ - Say Y here to enable error injection in to the IU/FPU regfiles. - Affects only simulation. - -Cache memory protection -CONFIG_CACHE_FT_EN - Enable SEU error-correction in the cache memories. - -Cache memory error injection -CONFIG_CACHE_ERRINJ - Say Y here to enable error injection in to the cache memories. - Affects only simulation. - -Leon3ft netlist -CONFIG_LEON3_NETLIST - Say Y here to use a VHDL netlist of the LEON3FT. This is - only available in certain versions of grlib. - -IU assembly printing -CONFIG_IU_DISAS - Enable printing of executed instructions to the console. - -IU assembly printing in netlist -CONFIG_IU_DISAS_NET - Enable printing of executed instructions to the console also - when simulating a netlist. NOTE: with this option enabled, it - will not be possible to pass place&route. - -32-bit program counters -CONFIG_DEBUG_PC32 - Since the LSB 2 bits of the program counters always are zero, they are - normally not implemented. If you say Y here, the program counters will - be implemented with full 32 bits, making debugging of the VHDL model - much easier. Turn of this option for synthesis or you will be wasting - area. -CONFIG_AHB_DEFMST - Sets the default AHB master (see AMBA 2.0 specification for definition). - Should not be set to a value larger than the number of AHB masters - 1. - For highest processor performance, leave it at 0. - -Default AHB master -CONFIG_AHB_RROBIN - Say Y here to enable round-robin arbitration of the AHB bus. A N will - select fixed priority, with the master with the highest bus index having - the highest priority. - -Support AHB split-transactions -CONFIG_AHB_SPLIT - Say Y here to enable AHB split-transaction support in the AHB arbiter. - Unless you actually have an AHB slave that can generate AHB split - responses, say N and save some gates. - -Default AHB master -CONFIG_AHB_IOADDR - Selects the MSB adddress (HADDR[31:20]) of the AHB IO area, as defined - in the plug&play extentions of the AMBA bus. Should be kept to FFF - unless you really know what you are doing. - -APB bridge address -CONFIG_APB_HADDR - Selects the MSB adddress (HADDR[31:20]) of the APB bridge. Should be - kept at 800 for software compatibility. - -AHB monitor -CONFIG_AHB_MON - Say Y to enable the AHB bus monitor. The monitor will check for - illegal AHB transactions during simulation. It has no impact on - synthesis. - -Report AHB errors -CONFIG_AHB_MONERR - Print out detected AHB violations on console. - -Report AHB warnings -CONFIG_AHB_MONWAR - Print out detected AHB warnings on console. - -Write trace to console -CONFIG_AHB_DTRACE - Say yes here to write a trace of all AHB transfers to the - simulator console. Has not impact on final netlist. - -JTAG Enable -CONFIG_DSU_JTAG - Say Y to enable the JTAG debug link (JTAG-to-AHB). Debugging is done - with GRMON through the boards JTAG chain at speeds of up to 800 kbits/s. - - The TAP controller can be implemented in custom macros on - Altera, Actel Proasic/3 and Xilinx devices. The commercial - GRLIB also includes a generic TAP controller in VHDL. - - Supported JTAG cables are Xilinx Parallel Cable III and IV, - Xilinx Platform cables (USB), and Altera parallel and USB cables, - Amontech JTAG key, various FTDI chip based USB/JTAG devices, and - Actel Flash Pro 3/4 cable. - -Ethernet DSU enable -CONFIG_DSU_ETH - Say Y to enable the Ethernet Debug Communication Link (EDCL). The link - provides a DSU gateway between ethernet and the AHB bus. Debugging is - done at 10 or 100 Mbit/s, using the GRMON debug monitor. You must - enable the GRETH Ethernet MAC for this option to become active. - -Size of EDCL trace buffer -CONFIG_DSU_ETHSZ1 - Select the buffer size (in kbytes) for the EDCL. 1 or 2 kbyte is - usually enough, while a larger buffer will increase the transfer rate. - When operating at 100 Mbit, use a buffer size of at least 8 kbyte for - maximum throughput. - -MSB IP address -CONFIG_DSU_IPMSB - Set the MSB 16 bits of the IP address of the EDCL. - -LSB IP address -CONFIG_DSU_IPLSB - Set the LSB 16 bits of the IP address of the EDCL. - -MSB ethernet address -CONFIG_DSU_ETHMSB - Set the MSB 24 bits of the ethernet address of the EDCL. - -LSB ethernet address -CONFIG_DSU_ETHLSB - Set the LSB 24 bits of the ethernet address of the EDCL. - -Programmable MAC/IP address -CONFIG_DSU_ETH_PROG - Say Y to make the LSB 4 bits of the EDCL MAC and IP address - configurable using the ethi.edcladdr inputs. -Leon2 memory controller -CONFIG_MCTRL_LEON2 - Say Y here to enable the LEON2 memory controller. The controller - can access PROM, I/O, SRAM and SDRAM. The bus width for PROM - and SRAM is programmable to 8-, 16- or 32-bits. - -8-bit memory support -CONFIG_MCTRL_8BIT - If you say Y here, the PROM/SRAM memory controller will support - 8-bit mode, i.e. operate from 8-bit devices as if they were 32-bit. - Say N to save a few hundred gates. - -16-bit memory support -CONFIG_MCTRL_16BIT - If you say Y here, the PROM/SRAM memory controller will support - 16-bit mode, i.e. operate from 16-bit devices as if they were 32-bit. - Say N to save a few hundred gates. - -Write strobe feedback -CONFIG_MCTRL_WFB - If you say Y here, the PROM/SRAM write strobes (WRITEN, WEN) will - be used to enable the data bus drivers during write cycles. This - will guarantee that the data is still valid on the rising edge of - the write strobe. If you say N, the write strobes and the data bus - drivers will be clocked on the rising edge, potentially creating - a hold time problem in external memory or I/O. However, in all - practical cases, there is enough capacitance in the data bus lines - to keep the value stable for a few (many?) nano-seconds after the - buffers have been disabled, making it safe to say N and remove a - combinational path in the netlist that might be difficult to - analyze. - -Write strobe feedback -CONFIG_MCTRL_5CS - If you say Y here, the 5th (RAMSN[4]) SRAM chip select signal will - be enabled. If you don't intend to use it, say N and save some gates. - -SDRAM controller enable -CONFIG_MCTRL_SDRAM - Say Y here to enabled the PC100/PC133 SDRAM controller. If you don't - intend to use SDRAM, say N and save about 1 kgates. - -SDRAM controller inverted clock -CONFIG_MCTRL_SDRAM_INVCLK - If you say Y here, the SDRAM controller output signals will be delayed - with 1/2 clock in respect to the SDRAM clock. This will allow the used - of an SDRAM clock which in not strictly in phase with the internal - clock. This option will limit the SDRAM frequency to 40 - 50 MHz. - - On FPGA targets without SDRAM clock synchronizations through PLL/DLL, - say Y. On ASIC targets, say N and tell your foundry to balance the - SDRAM clock output. - -SDRAM separate address buses -CONFIG_MCTRL_SDRAM_SEPBUS - Say Y here if your SDRAM is connected through separate address - and data buses (SA & SD). This is the case on the GR-CPCI-XC2V6000 - board, but not on the GR-PCI-XC2V3000 or Avnet XCV1500E boards. - -64-bit data bus -CONFIG_MCTRL_SDRAM_BUS64 - Say Y here to enable 64-bit SDRAM data bus. - -Page burst enable -CONFIG_MCTRL_PAGE - Say Y here to enable SDRAM page burst operation. This will implement - read operations using page bursts rather than 8-word bursts and save - about 500 gates (100 LUTs). Note that not all SDRAM supports page - burst, so use this option with care. - -Programmable page burst enable -CONFIG_MCTRL_PROGPAGE - Say Y here to enable programmable SDRAM page burst operation. This - will allow to dynamically enable/disable page burst by setting - bit 17 in MCFG2. - -AHB status register -CONFIG_AHBSTAT_ENABLE - Say Y here to enable the AHB status register (AHBSTAT IP). - The register will latch the AHB address and master index when - an error response is returned by any AHB slave. - -SDRAM separate address buses -CONFIG_AHBSTAT_NFTSLV - The AHB status register can also latch the AHB address on an external - input. Select here how many of such inputs are required. - -On-chip rom -CONFIG_AHBROM_ENABLE - Say Y here to add a block on on-chip rom to the AHB bus. The ram - provides 0-waitstates read access, burst support, and 8-, 16- - and 32-bit data size. The rom will be syntheised into block rams - on Xilinx and Altera FPGA devices, and into gates on ASIC - technologies. GRLIB includes a utility to automatically create - the rom VHDL model (ahbrom.vhd) from an ELF file. Refer to the GRLIB - documentation for details. - -On-chip rom address -CONFIG_AHBROM_START - Set the start address of AHB ROM (HADDR[31:20]). The ROM will occupy - a 1 Mbyte slot at the selected address. Default is 000, corresponding - to AHB address 0x00000000. When address 0x0 is selected, the rom area - of any other memory controller is set to 0x10000000 to avoid conflicts. - -Enable pipeline register for on-chip rom -CONFIG_AHBROM_PIPE - Say Y here to add a data pipeline register to the on-chip rom. - This should be done when the rom is implemenented in (ASIC) gates, - or in logic cells on FPGAs. Do not use this option when the rom is - implemented in block rams. If enabled, the rom will operate with - one waitstate. - -On-chip ram -CONFIG_AHBRAM_ENABLE - Say Y here to add a block on on-chip ram to the AHB bus. The ram - provides 0-waitstates read access and 0/1 waitstates write access. - All AHB burst types are supported, as well as 8-, 16- and 32-bit - data size. - -On-chip ram size -CONFIG_AHBRAM_SZ1 - Set the size of the on-chip AHB ram. The ram is infered/instantiated - as four byte-wide ram slices to allow byte and half-word write - accesses. It is therefore essential that the target package can - infer byte-wide rams. This is currently supported on the generic, - virtex, virtex2, proasic and axellerator targets. - -On-chip ram address -CONFIG_AHBRAM_START - Set the start address of AHB RAM (HADDR[31:20]). The RAM will occupy - a 1 Mbyte slot at the selected address. Default is A00, corresponding - to AHB address 0xA0000000. - -Gaisler Ethernet MAC enable -CONFIG_GRETH_ENABLE - Say Y here to enable the Gaisler Research Ethernet MAC . The MAC has - one AHB master interface to read and write packets to memory, and one - APB slave interface for accessing the control registers. - -Gaisler Ethernet 1G MAC enable -CONFIG_GRETH_GIGA - Say Y here to enable the Gaisler Research 1000 Mbit Ethernet MAC . - The 1G MAC is only available in the commercial version of GRLIB, - so do NOT enable it if you are using the GPL version. - -CONFIG_GRETH_FIFO4 - Set the depth of the receive and transmit FIFOs in the MAC core. - The MAC core will perform AHB burst read/writes with half the - size of the FIFO depth. - - -UART1 enable -CONFIG_UART1_ENABLE - Say Y here to enable UART1, or the console UART. This is needed to - get any print-out from LEON3 systems regardless of operating system. - -UART1 FIFO -CONFIG_UA1_FIFO1 - The UART has configurable transmitt and receive FIFO's, which can - be set to 1 - 32 bytes. Use 1 for minimum area, or 8 - 32 for - maximum throughput. - - -LEON3 interrupt controller -CONFIG_IRQ3_ENABLE - Say Y here to enable the LEON3 interrupt controller. This is needed - if you want to be able to receive interrupts. Operating systems like - Linux, RTEMS and eCos needs this option to be enabled. If you intend - to use the Bare-C run-time and not use interrupts, you could disable - the interrupt controller and save about 500 gates. - -LEON3 interrupt controller broadcast -CONFIG_IRQ3_BROADCAST_ENABLE - If enabled the broadcast register is used to determine which - interrupt should be sent to all cpus instead of just the first - one that consumes it. - -Secondary interrupts -CONFIG_IRQ3_SEC - The interrupt controller handles 15 interrupts by default (1 - 15). - These correspond to the 15 SPARC asyncronous traps (0x11 - 0x1F), - and AMBA interrupts 1 - 15. This option will enable 16 additional - (secondary) interrupts, corresponding to AMBA interrupts 16 - 31. - The secondary interrupts will be multiplexed onto one of the first - 15 interrupts. The total number of handled interrupts can then - be up to 30 (14 primary and 16 secondary). - -Number of interrupts -CONFIG_IRQ3_NSEC - Defines which of the first 15 interrupts should be used for the - secondary (16 - 31) interrupts. Interrupt 15 should be avoided - since it is not maskable by the processor. -Timer module enable -CONFIG_GPT_ENABLE - Say Y here to enable the Modular Timer Unit. The timer unit consists - of one common scaler and up to 7 independent timers. The timer unit - is needed for Linux, RTEMS, eCos and the Bare-C run-times. - -Timer module enable -CONFIG_GPT_NTIM - Set the number of timers in the timer unit (1 - 7). - -Scaler width -CONFIG_GPT_SW - Set the width if the common pre-scaler (2 - 16 bits). The scaler - is used to divide the system clock down to 1 MHz, so 8 bits should - be sufficient for most implementations (allows clocks up to 256 MHz). - -Timer width -CONFIG_GPT_TW - Set the width if the timers (2 - 32 bits). 32 bits is recommended - for the Bare-C run-time, lower values (e.g. 16 bits) can work with - RTEMS and Linux. - -Timer Interrupt -CONFIG_GPT_IRQ - Set the interrupt number for the first timer. Remaining timers will - have incrementing interrupts, unless the separate-interrupts option - below is disabled. - -Watchdog enable -CONFIG_GPT_WDOGEN - Say Y here to enable the watchdog functionality in the timer unit. - -Watchdog time-out value -CONFIG_GPT_WDOG - This value will be loaded in the watchdog timer at reset. - -GPIO port -CONFIG_GRGPIO_ENABLE - Say Y here to enable a general purpose I/O port. The port can be - configured from 1 - 32 bits, whith each port signal individually - programmable as input or output. The port signals can also serve - as interrupt inputs. - -GPIO port witdth -CONFIG_GRGPIO_WIDTH - Number of bits in the I/O port. Must be in the range of 1 - 32. - -GPIO interrupt mask -CONFIG_GRGPIO_IMASK - The I/O port interrupt mask defines which bits in the I/O port - should be able to create an interrupt. - -Text-mode VGA -CONFIG_VGA_ENABLE - Say Y here to enable a simple text-mode VGA controller. The controller - generate 48x36 characters on a 640x480 pixel screen. The pixel clock - is 25 MHz. - -SVGA frame buffer -CONFIG_SVGA_ENABLE - Say Y here to enable a graphical frame buffer. The frame buffer - can be configured up to 1024x768 pixels and 8-, 16- or 32-bit - colour depth. - -PS2 KBD interface -CONFIG_KBD_ENABLE - Say Y here to enable a PS/2 keyboard or mouse interface. - -SPI memory controller -CONFIG_SPIMCTRL - Say Y here to enable a simple SPI memory controller. - The controller maps a SPI memory device into AMBA address space and - also has a simple interface that allows sending commands directly - to the SPI device. - -SD card support -CONFIG_SPIMCTRL_SDCARD - Memory device connected to controller is SD card. - -Read command -CONFIG_SPIMCTRL_READCMD - Read instruction for SPI memory device - -Dummy byte -CONFIG_SPIMCTRL_DUMMYBYTE - Output dummy byte after address when issuing read instruction. - -Dual output -CONFIG_SPIMCTRL_DUALOUTPUT - Memory device supports dual output when reading data. - -Clock scaler -CONFIG_SPIMCTRL_SCALER - Selects the divisor used when dividing the system clock to produce - the memory device clock. The divisor used is two to the power of the - specified value. This value must be at least 1. - -Alternate clock scaler -CONFIG_SPIMCTRL_ASCALER - Selects the divisor used when dividing the system clock to produce - the alternate memory device clock. If the selected memory device is - a SD Card this clock will be used during card initialization. The - divisor used is two to the power of the specified value. This - value must be at least 1. - -Power-up cnt -CONFIG_SPIMCTRL_PWRUPCNT - Number of system clock cycles to wait before issuing first command. -Gaisler Research SPI controller -CONFIG_SPICTRL_ENABLE - Say Y here to enable the SPI controller(s) - -CONFIG_SPICTRL_NUM - Number of SPI controllers to implement in design. Note that most - template designs are limited to one SPI controller. - Configuration options made here in xconfig will apply to all - implemented SPI controllers. - -CONFIG_SPICTRL_MAXWLEN - 0: Core will support lengths up to 32 bits - 1-2: Illegal values - 3-15: Maximum word length will be value+1 (4-16) - -CONFIG_SPICTRL_SYNCRAM - Say Y here to use SYNCRAM_2P components for the core's receive - and transmit queues. This is the recommended setting, particularly - if the core is implemented with support for automatic mode. - -CONFIG_SPICTRL_FT - Fault-tolerance for internal buffers. Only applicable if core - buffers are implemented with SYNCRAM components. - -UART debugging -CONFIG_DEBUG_UART - During simulation, the output from the UARTs is printed on the - simulator console. Since the ratio between the system clock and - UART baud-rate is quite high, simulating UART output will be very - slow. If you say Y here, the UARTs will print a character as soon - as it is stored in the transmitter data register. The transmitter - ready flag will be permanently set, speeding up simulation. However, - the output on the UART tx line will be garbled. Has not impact on - synthesis, but will cause the LEON test bench to fail. - -FPU register tracing -CONFIG_DEBUG_FPURF - If you say Y here, all writes to the floating-point unit register file - will be printed on the simulator console. - diff --git a/designs/beaglebone-test1/config.in b/designs/beaglebone-test1/config.in deleted file mode 100644 --- a/designs/beaglebone-test1/config.in +++ /dev/null @@ -1,79 +0,0 @@ - -# LEON3 configuration written in linux configuration language -# -# Written by Jiri Gaisler, Gaisler Research -# -# Comments and bug reports to jiri@gaisler.com -# -# - -#define_bool CONFIG_MCTRL_RMW y -define_bool CONFIG_LEON3FT_PRESENT y -define_bool CONFIG_HAS_SHARED_GRFPU y - -mainmenu_name "LEON3MP Design Configuration" - -mainmenu_option next_comment - comment 'Synthesis ' - source lib/techmap/gencomp/tech.in -endmenu - -mainmenu_option next_comment - comment 'Clock generation' - source lib/techmap/clocks/clkgen.in -endmenu - -source lib/gaisler/leon3/leon3.in -source lib/grlib/amba/amba.in - -mainmenu_option next_comment - comment 'Debug Link ' - source lib/gaisler/jtag/jtag.in - source lib/gaisler/net/edcl.in -endmenu - -mainmenu_option next_comment -comment 'Peripherals ' - - mainmenu_option next_comment - comment 'Memory controller ' - source lib/esa/memoryctrl/mctrl.in - source lib/gaisler/ddr/mig.in - source lib/gaisler/misc/ahbstat.in - endmenu - - mainmenu_option next_comment - comment 'On-chip RAM/ROM ' - source lib/gaisler/misc/ahbrom.in - source lib/gaisler/misc/ahbram.in - endmenu - - mainmenu_option next_comment - comment 'Ethernet ' - source lib/gaisler/greth/greth.in - endmenu - - mainmenu_option next_comment - comment 'UART, timer, I/O port and interrupt controller' - source lib/gaisler/uart/uart1.in - source lib/gaisler/leon3/irqmp.in - source lib/gaisler/misc/gptimer.in - source lib/gaisler/misc/grgpio.in - endmenu - - mainmenu_option next_comment - comment 'Keybord and VGA interface' - source lib/gaisler/misc/ps2vga.in - endmenu - mainmenu_option next_comment - comment 'SPI' - source lib/gaisler/spi/spimctrl.in - source lib/gaisler/spi/spictrl.in - endmenu - -endmenu - -mainmenu_option next_comment -comment 'VHDL Debugging ' - source lib/grlib/util/debug.in -endmenu diff --git a/designs/beaglebone-test1/config.vhd b/designs/beaglebone-test1/config.vhd deleted file mode 100644 --- a/designs/beaglebone-test1/config.vhd +++ /dev/null @@ -1,192 +0,0 @@ - - - ------------------------------------------------------------------------------ --- LEON3 Demonstration design test bench configuration --- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------- - - -library techmap; -use techmap.gencomp.all; - -package config is --- Technology and synthesis options - constant CFG_FABTECH : integer := spartan6; - constant CFG_MEMTECH : integer := spartan6; - constant CFG_PADTECH : integer := spartan6; - constant CFG_NOASYNC : integer := 0; - constant CFG_SCAN : integer := 0; --- Clock generator - constant CFG_CLKTECH : integer := spartan6; - constant CFG_CLKMUL : integer := (20); - constant CFG_CLKDIV : integer := (11); - constant CFG_OCLKDIV : integer := 1; - constant CFG_OCLKBDIV : integer := 0; - constant CFG_OCLKCDIV : integer := 0; - constant CFG_PCIDLL : integer := 0; - constant CFG_PCISYSCLK: integer := 0; - constant CFG_CLK_NOFB : integer := 0; --- LEON3 processor core - constant CFG_LEON3 : integer := 1; - constant CFG_NCPU : integer := (0); - constant CFG_NWIN : integer := (8); - constant CFG_V8 : integer := 16#32# + 4*0; - constant CFG_MAC : integer := 0; - constant CFG_BP : integer := 1; - constant CFG_SVT : integer := 1; - constant CFG_RSTADDR : integer := 16#00000#; - constant CFG_LDDEL : integer := (1); - constant CFG_NOTAG : integer := 1; - constant CFG_NWP : integer := (2); - constant CFG_PWD : integer := 1*2; - constant CFG_FPU : integer := 0 + 16*0 + 32*0; - constant CFG_GRFPUSH : integer := 0; - constant CFG_ICEN : integer := 1; - constant CFG_ISETS : integer := 2; - constant CFG_ISETSZ : integer := 8; - constant CFG_ILINE : integer := 8; - constant CFG_IREPL : integer := 2; - constant CFG_ILOCK : integer := 0; - constant CFG_ILRAMEN : integer := 0; - constant CFG_ILRAMADDR: integer := 16#8E#; - constant CFG_ILRAMSZ : integer := 1; - constant CFG_DCEN : integer := 1; - constant CFG_DSETS : integer := 2; - constant CFG_DSETSZ : integer := 4; - constant CFG_DLINE : integer := 4; - constant CFG_DREPL : integer := 2; - constant CFG_DLOCK : integer := 0; - constant CFG_DSNOOP : integer := 1 + 1 + 4*1; - constant CFG_DFIXED : integer := 16#0#; - constant CFG_DLRAMEN : integer := 0; - constant CFG_DLRAMADDR: integer := 16#8F#; - constant CFG_DLRAMSZ : integer := 1; - constant CFG_MMUEN : integer := 1; - constant CFG_ITLBNUM : integer := 8; - constant CFG_DTLBNUM : integer := 8; - constant CFG_TLB_TYPE : integer := 0 + 1*2; - constant CFG_TLB_REP : integer := 0; - constant CFG_MMU_PAGE : integer := 0; - constant CFG_DSU : integer := 1; - constant CFG_ITBSZ : integer := 4; - constant CFG_ATBSZ : integer := 4; - constant CFG_LEON3FT_EN : integer := 0; - constant CFG_IUFT_EN : integer := 0; - constant CFG_FPUFT_EN : integer := 0; - constant CFG_RF_ERRINJ : integer := 0; - constant CFG_CACHE_FT_EN : integer := 0; - constant CFG_CACHE_ERRINJ : integer := 0; - constant CFG_LEON3_NETLIST: integer := 0; - constant CFG_DISAS : integer := 0 + 0; - constant CFG_PCLOW : integer := 2; --- AMBA settings - constant CFG_DEFMST : integer := (0); - constant CFG_RROBIN : integer := 1; - constant CFG_SPLIT : integer := 0; - constant CFG_AHBIO : integer := 16#FFF#; - constant CFG_APBADDR : integer := 16#800#; - constant CFG_AHB_MON : integer := 0; - constant CFG_AHB_MONERR : integer := 0; - constant CFG_AHB_MONWAR : integer := 0; - constant CFG_AHB_DTRACE : integer := 0; --- JTAG based DSU interface - constant CFG_AHB_JTAG : integer := 0; --- DSU UART - constant CFG_AHB_UART : integer := 1; --- Ethernet DSU - constant CFG_DSU_ETH : integer := 0 + 0 + 0; - constant CFG_ETH_BUF : integer := 2; - constant CFG_ETH_IPM : integer := 16#C0A8#; - constant CFG_ETH_IPL : integer := 16#0033#; - constant CFG_ETH_ENM : integer := 16#020605#; - constant CFG_ETH_ENL : integer := 16#000987#; --- LEON2 memory controller - constant CFG_MCTRL_LEON2 : integer := 1; - constant CFG_MCTRL_RAM8BIT : integer := 0; - constant CFG_MCTRL_RAM16BIT : integer := 1; - constant CFG_MCTRL_5CS : integer := 0; - constant CFG_MCTRL_SDEN : integer := 0; - constant CFG_MCTRL_SEPBUS : integer := 0; - constant CFG_MCTRL_INVCLK : integer := 0; - constant CFG_MCTRL_SD64 : integer := 0; - constant CFG_MCTRL_PAGE : integer := 0 + 0; --- Xilinx MIG - constant CFG_MIG_DDR2 : integer := 0; - constant CFG_MIG_RANKS : integer := (1); - constant CFG_MIG_COLBITS : integer := (10); - constant CFG_MIG_ROWBITS : integer := (13); - constant CFG_MIG_BANKBITS: integer := (3); - constant CFG_MIG_HMASK : integer := 16#F80#; --- AHB status register - constant CFG_AHBSTAT : integer := 1; - constant CFG_AHBSTATN : integer := (1); --- AHB ROM - constant CFG_AHBROMEN : integer := 0; - constant CFG_AHBROPIP : integer := 0; - constant CFG_AHBRODDR : integer := 16#000#; - constant CFG_ROMADDR : integer := 16#000#; - constant CFG_ROMMASK : integer := 16#E00# + 16#000#; --- AHB RAM - constant CFG_AHBRAMEN : integer := 0; - constant CFG_AHBRSZ : integer := 1; - constant CFG_AHBRADDR : integer := 16#A00#; --- Gaisler Ethernet core - constant CFG_GRETH : integer := 0; - constant CFG_GRETH1G : integer := 0; - constant CFG_ETH_FIFO : integer := 32; --- UART 1 - constant CFG_UART1_ENABLE : integer := 1; - constant CFG_UART1_FIFO : integer := 4; - --- LEON3 interrupt controller - constant CFG_IRQ3_ENABLE : integer := 1; - constant CFG_IRQ3_NSEC : integer := 0; - --- Modular timer - constant CFG_GPT_ENABLE : integer := 1; - constant CFG_GPT_NTIM : integer := (2); - constant CFG_GPT_SW : integer := (8); - constant CFG_GPT_TW : integer := (32); - constant CFG_GPT_IRQ : integer := (8); - constant CFG_GPT_SEPIRQ : integer := 1; - constant CFG_GPT_WDOGEN : integer := 0; - constant CFG_GPT_WDOG : integer := 16#0#; - --- GPIO port - constant CFG_GRGPIO_ENABLE : integer := 1; - constant CFG_GRGPIO_IMASK : integer := 16#0000#; - constant CFG_GRGPIO_WIDTH : integer := (8); - --- VGA and PS2/ interface - constant CFG_KBD_ENABLE : integer := 0; - constant CFG_VGA_ENABLE : integer := 0; - constant CFG_SVGA_ENABLE : integer := 0; - --- SPI memory controller - constant CFG_SPIMCTRL : integer := 0; - constant CFG_SPIMCTRL_SDCARD : integer := 0; - constant CFG_SPIMCTRL_READCMD : integer := 16#0#; - constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; - constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; - constant CFG_SPIMCTRL_SCALER : integer := 1; - constant CFG_SPIMCTRL_ASCALER : integer := 1; - constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; - --- SPI controller - constant CFG_SPICTRL_ENABLE : integer := 0; - constant CFG_SPICTRL_NUM : integer := 1; - constant CFG_SPICTRL_SLVS : integer := 1; - constant CFG_SPICTRL_FIFO : integer := 1; - constant CFG_SPICTRL_SLVREG : integer := 0; - constant CFG_SPICTRL_ODMODE : integer := 0; - constant CFG_SPICTRL_AM : integer := 0; - constant CFG_SPICTRL_ASEL : integer := 0; - constant CFG_SPICTRL_TWEN : integer := 0; - constant CFG_SPICTRL_MAXWLEN : integer := 0; - constant CFG_SPICTRL_SYNCRAM : integer := 0; - constant CFG_SPICTRL_FT : integer := 0; - --- GRLIB debugging - constant CFG_DUART : integer := 0; -end; diff --git a/designs/beaglebone-test1/config.vhd.h b/designs/beaglebone-test1/config.vhd.h deleted file mode 100644 --- a/designs/beaglebone-test1/config.vhd.h +++ /dev/null @@ -1,190 +0,0 @@ --- Technology and synthesis options - constant CFG_FABTECH : integer := CONFIG_SYN_TECH; - constant CFG_MEMTECH : integer := CFG_RAM_TECH; - constant CFG_PADTECH : integer := CFG_PAD_TECH; - constant CFG_NOASYNC : integer := CONFIG_SYN_NO_ASYNC; - constant CFG_SCAN : integer := CONFIG_SYN_SCAN; - --- Clock generator - constant CFG_CLKTECH : integer := CFG_CLK_TECH; - constant CFG_CLKMUL : integer := CONFIG_CLK_MUL; - constant CFG_CLKDIV : integer := CONFIG_CLK_DIV; - constant CFG_OCLKDIV : integer := CONFIG_OCLK_DIV; - constant CFG_OCLKBDIV : integer := CONFIG_OCLKB_DIV; - constant CFG_OCLKCDIV : integer := CONFIG_OCLKC_DIV; - constant CFG_PCIDLL : integer := CONFIG_PCI_CLKDLL; - constant CFG_PCISYSCLK: integer := CONFIG_PCI_SYSCLK; - constant CFG_CLK_NOFB : integer := CONFIG_CLK_NOFB; - --- LEON3 processor core - constant CFG_LEON3 : integer := CONFIG_LEON3; - constant CFG_NCPU : integer := CONFIG_PROC_NUM; - constant CFG_NWIN : integer := CONFIG_IU_NWINDOWS; - constant CFG_V8 : integer := CFG_IU_V8 + 4*CFG_IU_MUL_STRUCT; - constant CFG_MAC : integer := CONFIG_IU_MUL_MAC; - constant CFG_BP : integer := CONFIG_IU_BP; - constant CFG_SVT : integer := CONFIG_IU_SVT; - constant CFG_RSTADDR : integer := 16#CONFIG_IU_RSTADDR#; - constant CFG_LDDEL : integer := CONFIG_IU_LDELAY; - constant CFG_NOTAG : integer := CONFIG_NOTAG; - constant CFG_NWP : integer := CONFIG_IU_WATCHPOINTS; - constant CFG_PWD : integer := CONFIG_PWD*2; - constant CFG_FPU : integer := CONFIG_FPU + 16*CONFIG_FPU_NETLIST + 32*CONFIG_FPU_GRFPU_SHARED; - constant CFG_GRFPUSH : integer := CONFIG_FPU_GRFPU_SHARED; - constant CFG_ICEN : integer := CONFIG_ICACHE_ENABLE; - constant CFG_ISETS : integer := CFG_IU_ISETS; - constant CFG_ISETSZ : integer := CFG_ICACHE_SZ; - constant CFG_ILINE : integer := CFG_ILINE_SZ; - constant CFG_IREPL : integer := CFG_ICACHE_ALGORND; - constant CFG_ILOCK : integer := CONFIG_ICACHE_LOCK; - constant CFG_ILRAMEN : integer := CONFIG_ICACHE_LRAM; - constant CFG_ILRAMADDR: integer := 16#CONFIG_ICACHE_LRSTART#; - constant CFG_ILRAMSZ : integer := CFG_ILRAM_SIZE; - constant CFG_DCEN : integer := CONFIG_DCACHE_ENABLE; - constant CFG_DSETS : integer := CFG_IU_DSETS; - constant CFG_DSETSZ : integer := CFG_DCACHE_SZ; - constant CFG_DLINE : integer := CFG_DLINE_SZ; - constant CFG_DREPL : integer := CFG_DCACHE_ALGORND; - constant CFG_DLOCK : integer := CONFIG_DCACHE_LOCK; - constant CFG_DSNOOP : integer := CONFIG_DCACHE_SNOOP + CONFIG_DCACHE_SNOOP_FAST + 4*CONFIG_DCACHE_SNOOP_SEPTAG; - constant CFG_DFIXED : integer := 16#CONFIG_CACHE_FIXED#; - constant CFG_DLRAMEN : integer := CONFIG_DCACHE_LRAM; - constant CFG_DLRAMADDR: integer := 16#CONFIG_DCACHE_LRSTART#; - constant CFG_DLRAMSZ : integer := CFG_DLRAM_SIZE; - constant CFG_MMUEN : integer := CONFIG_MMUEN; - constant CFG_ITLBNUM : integer := CONFIG_ITLBNUM; - constant CFG_DTLBNUM : integer := CONFIG_DTLBNUM; - constant CFG_TLB_TYPE : integer := CONFIG_TLB_TYPE + CFG_MMU_FASTWB*2; - constant CFG_TLB_REP : integer := CONFIG_TLB_REP; - constant CFG_MMU_PAGE : integer := CONFIG_MMU_PAGE; - constant CFG_DSU : integer := CONFIG_DSU_ENABLE; - constant CFG_ITBSZ : integer := CFG_DSU_ITB; - constant CFG_ATBSZ : integer := CFG_DSU_ATB; - constant CFG_LEON3FT_EN : integer := CONFIG_LEON3FT_EN; - constant CFG_IUFT_EN : integer := CONFIG_IUFT_EN; - constant CFG_FPUFT_EN : integer := CONFIG_FPUFT; - constant CFG_RF_ERRINJ : integer := CONFIG_RF_ERRINJ; - constant CFG_CACHE_FT_EN : integer := CONFIG_CACHE_FT_EN; - constant CFG_CACHE_ERRINJ : integer := CONFIG_CACHE_ERRINJ; - constant CFG_LEON3_NETLIST: integer := CONFIG_LEON3_NETLIST; - constant CFG_DISAS : integer := CONFIG_IU_DISAS + CONFIG_IU_DISAS_NET; - constant CFG_PCLOW : integer := CFG_DEBUG_PC32; - --- AMBA settings - constant CFG_DEFMST : integer := CONFIG_AHB_DEFMST; - constant CFG_RROBIN : integer := CONFIG_AHB_RROBIN; - constant CFG_SPLIT : integer := CONFIG_AHB_SPLIT; - constant CFG_AHBIO : integer := 16#CONFIG_AHB_IOADDR#; - constant CFG_APBADDR : integer := 16#CONFIG_APB_HADDR#; - constant CFG_AHB_MON : integer := CONFIG_AHB_MON; - constant CFG_AHB_MONERR : integer := CONFIG_AHB_MONERR; - constant CFG_AHB_MONWAR : integer := CONFIG_AHB_MONWAR; - constant CFG_AHB_DTRACE : integer := CONFIG_AHB_DTRACE; - --- JTAG based DSU interface - constant CFG_AHB_JTAG : integer := CONFIG_DSU_JTAG; - --- Ethernet DSU - constant CFG_DSU_ETH : integer := CONFIG_DSU_ETH + CONFIG_DSU_ETH_PROG + CONFIG_DSU_ETH_DIS; - constant CFG_ETH_BUF : integer := CFG_DSU_ETHB; - constant CFG_ETH_IPM : integer := 16#CONFIG_DSU_IPMSB#; - constant CFG_ETH_IPL : integer := 16#CONFIG_DSU_IPLSB#; - constant CFG_ETH_ENM : integer := 16#CONFIG_DSU_ETHMSB#; - constant CFG_ETH_ENL : integer := 16#CONFIG_DSU_ETHLSB#; - --- LEON2 memory controller - constant CFG_MCTRL_LEON2 : integer := CONFIG_MCTRL_LEON2; - constant CFG_MCTRL_RAM8BIT : integer := CONFIG_MCTRL_8BIT; - constant CFG_MCTRL_RAM16BIT : integer := CONFIG_MCTRL_16BIT; - constant CFG_MCTRL_5CS : integer := CONFIG_MCTRL_5CS; - constant CFG_MCTRL_SDEN : integer := CONFIG_MCTRL_SDRAM; - constant CFG_MCTRL_SEPBUS : integer := CONFIG_MCTRL_SDRAM_SEPBUS; - constant CFG_MCTRL_INVCLK : integer := CONFIG_MCTRL_SDRAM_INVCLK; - constant CFG_MCTRL_SD64 : integer := CONFIG_MCTRL_SDRAM_BUS64; - constant CFG_MCTRL_PAGE : integer := CONFIG_MCTRL_PAGE + CONFIG_MCTRL_PROGPAGE; - --- Xilinx MIG - constant CFG_MIG_DDR2 : integer := CONFIG_MIG_DDR2; - constant CFG_MIG_RANKS : integer := CONFIG_MIG_RANKS; - constant CFG_MIG_COLBITS : integer := CONFIG_MIG_COLBITS; - constant CFG_MIG_ROWBITS : integer := CONFIG_MIG_ROWBITS; - constant CFG_MIG_BANKBITS: integer := CONFIG_MIG_BANKBITS; - constant CFG_MIG_HMASK : integer := 16#CONFIG_MIG_HMASK#; - - --- AHB status register - constant CFG_AHBSTAT : integer := CONFIG_AHBSTAT_ENABLE; - constant CFG_AHBSTATN : integer := CONFIG_AHBSTAT_NFTSLV; - --- AHB ROM - constant CFG_AHBROMEN : integer := CONFIG_AHBROM_ENABLE; - constant CFG_AHBROPIP : integer := CONFIG_AHBROM_PIPE; - constant CFG_AHBRODDR : integer := 16#CONFIG_AHBROM_START#; - constant CFG_ROMADDR : integer := 16#CONFIG_ROM_START#; - constant CFG_ROMMASK : integer := 16#E00# + 16#CONFIG_ROM_START#; - --- AHB RAM - constant CFG_AHBRAMEN : integer := CONFIG_AHBRAM_ENABLE; - constant CFG_AHBRSZ : integer := CFG_AHBRAMSZ; - constant CFG_AHBRADDR : integer := 16#CONFIG_AHBRAM_START#; - --- Gaisler Ethernet core - constant CFG_GRETH : integer := CONFIG_GRETH_ENABLE; - constant CFG_GRETH1G : integer := CONFIG_GRETH_GIGA; - constant CFG_ETH_FIFO : integer := CFG_GRETH_FIFO; - --- UART 1 - constant CFG_UART1_ENABLE : integer := CONFIG_UART1_ENABLE; - constant CFG_UART1_FIFO : integer := CFG_UA1_FIFO; - --- LEON3 interrupt controller - constant CFG_IRQ3_ENABLE : integer := CONFIG_IRQ3_ENABLE; - constant CFG_IRQ3_NSEC : integer := CONFIG_IRQ3_NSEC; - --- Modular timer - constant CFG_GPT_ENABLE : integer := CONFIG_GPT_ENABLE; - constant CFG_GPT_NTIM : integer := CONFIG_GPT_NTIM; - constant CFG_GPT_SW : integer := CONFIG_GPT_SW; - constant CFG_GPT_TW : integer := CONFIG_GPT_TW; - constant CFG_GPT_IRQ : integer := CONFIG_GPT_IRQ; - constant CFG_GPT_SEPIRQ : integer := CONFIG_GPT_SEPIRQ; - constant CFG_GPT_WDOGEN : integer := CONFIG_GPT_WDOGEN; - constant CFG_GPT_WDOG : integer := 16#CONFIG_GPT_WDOG#; - --- GPIO port - constant CFG_GRGPIO_ENABLE : integer := CONFIG_GRGPIO_ENABLE; - constant CFG_GRGPIO_IMASK : integer := 16#CONFIG_GRGPIO_IMASK#; - constant CFG_GRGPIO_WIDTH : integer := CONFIG_GRGPIO_WIDTH; - --- VGA and PS2/ interface - constant CFG_KBD_ENABLE : integer := CONFIG_KBD_ENABLE; - constant CFG_VGA_ENABLE : integer := CONFIG_VGA_ENABLE; - constant CFG_SVGA_ENABLE : integer := CONFIG_SVGA_ENABLE; - --- SPI memory controller - constant CFG_SPIMCTRL : integer := CONFIG_SPIMCTRL; - constant CFG_SPIMCTRL_SDCARD : integer := CONFIG_SPIMCTRL_SDCARD; - constant CFG_SPIMCTRL_READCMD : integer := 16#CONFIG_SPIMCTRL_READCMD#; - constant CFG_SPIMCTRL_DUMMYBYTE : integer := CONFIG_SPIMCTRL_DUMMYBYTE; - constant CFG_SPIMCTRL_DUALOUTPUT : integer := CONFIG_SPIMCTRL_DUALOUTPUT; - constant CFG_SPIMCTRL_SCALER : integer := CONFIG_SPIMCTRL_SCALER; - constant CFG_SPIMCTRL_ASCALER : integer := CONFIG_SPIMCTRL_ASCALER; - constant CFG_SPIMCTRL_PWRUPCNT : integer := CONFIG_SPIMCTRL_PWRUPCNT; - --- SPI controller - constant CFG_SPICTRL_ENABLE : integer := CONFIG_SPICTRL_ENABLE; - constant CFG_SPICTRL_NUM : integer := CONFIG_SPICTRL_NUM; - constant CFG_SPICTRL_SLVS : integer := CONFIG_SPICTRL_SLVS; - constant CFG_SPICTRL_FIFO : integer := CONFIG_SPICTRL_FIFO; - constant CFG_SPICTRL_SLVREG : integer := CONFIG_SPICTRL_SLVREG; - constant CFG_SPICTRL_ODMODE : integer := CONFIG_SPICTRL_ODMODE; - constant CFG_SPICTRL_AM : integer := CONFIG_SPICTRL_AM; - constant CFG_SPICTRL_ASEL : integer := CONFIG_SPICTRL_ASEL; - constant CFG_SPICTRL_TWEN : integer := CONFIG_SPICTRL_TWEN; - constant CFG_SPICTRL_MAXWLEN : integer := CONFIG_SPICTRL_MAXWLEN; - constant CFG_SPICTRL_SYNCRAM : integer := CONFIG_SPICTRL_SYNCRAM; - constant CFG_SPICTRL_FT : integer := CONFIG_SPICTRL_FT; - --- GRLIB debugging - constant CFG_DUART : integer := CONFIG_DEBUG_UART; - diff --git a/designs/beaglebone-test1/config.vhd.in b/designs/beaglebone-test1/config.vhd.in deleted file mode 100644 --- a/designs/beaglebone-test1/config.vhd.in +++ /dev/null @@ -1,18 +0,0 @@ -#include "config.h" -#include "tkconfig.h" - ------------------------------------------------------------------------------ --- LEON3 Demonstration design test bench configuration --- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------- - - -library techmap; -use techmap.gencomp.all; - -package config is - -#include "config.vhd.h" - - -end; diff --git a/designs/beaglebone-test1/default.sdc b/designs/beaglebone-test1/default.sdc deleted file mode 100644 --- a/designs/beaglebone-test1/default.sdc +++ /dev/null @@ -1,50 +0,0 @@ -# Synplicity, Inc. constraint file -# /home/jiri/ibm/vhdl/grlib/boards/gr-xc3s-1500/default.sdc -# Written on Thu May 11 15:07:16 2006 -# by Synplify Pro, 7.1.1 Scope Editor - -# -# Clocks -# -define_clock -name {n:clkm} -freq 50.000 -route 5.0 -clockgroup ahb_clkgroup -define_clock -name {rxclki} -freq 100.000 -route 2.0 -clockgroup rxclki_clkgroup -define_clock -name {txclk} -freq 100.000 -route 2.0 -clockgroup txclk_clkgroup -define_clock -name {clk125} -freq 125.000 -route 2.0 -clockgroup eth_clkgroup -define_clock -name {usb_clkout} -freq 60.000 -route 4.0 -clockgroup usb_clkgroup -define_clock -name {n:clk50} -freq 50.000 -route 4.0 -clockgroup vga_clkgroup -define_clock -name {clk3} -freq 25.000 -route 2.0 -clockgroup eth_clkgroup -define_clock -name {n:video_clk} -freq 50.000 -route 2.0 -clockgroup video_clkgroup - -# -# Inputs/Outputs -# -define_clock_delay -rise {clk3} -rise {vga_clkgen|clkgen65.clk0B_derived_clock} -false -define_clock_delay -rise {vga_clkgen|clkgen65.clk0B_derived_clock} -rise {clk3} -false -define_clock_delay -rise {leon3mp|clkgen0.xc3s_v.clk0B_derived_clock} -rise {leon3mp|clk50} -false -define_clock_delay -rise {leon3mp|clk50} -rise {leon3mp|clkgen0.xc3s_v.clk0B_derived_clock} -false - -define_output_delay -disable -default 10.00 -improve 0.00 -route 0.00 -ref clk:r -define_input_delay -disable -default 10.00 -improve 0.00 -route 0.00 -ref clk:r -define_output_delay 8.00 -improve 0.00 -route 0.00 -ref {usb_clkout:r} -define_input_delay 8.00 -improve 0.00 -route 0.00 -ref {usb_clkout:r} - -# -# Registers -# - -# -# Multicycle Path -# - -# -# False Path -# - -# -# Attributes -# -define_global_attribute syn_useioff {1} - -# -# Other Constraints -# diff --git a/designs/beaglebone-test1/defconfig b/designs/beaglebone-test1/defconfig deleted file mode 100644 --- a/designs/beaglebone-test1/defconfig +++ /dev/null @@ -1,252 +0,0 @@ -# -# Automatically generated make config: don't edit -# - -# -# Synthesis -# -# CONFIG_SYN_INFERRED is not set -# CONFIG_SYN_ALTERA is not set -# CONFIG_SYN_AXCEL is not set -# CONFIG_SYN_PROASIC is not set -# CONFIG_SYN_PROASICPLUS is not set -# CONFIG_SYN_PROASIC3 is not set -# CONFIG_SYN_ATC18 is not set -# CONFIG_SYN_IHP25 is not set -# CONFIG_SYN_LATTICE is not set -# CONFIG_SYN_RH_LIB18T is not set -# CONFIG_SYN_RHUMC is not set -# CONFIG_SYN_SPARTAN2 is not set -CONFIG_SYN_SPARTAN3=y -# CONFIG_SYN_VIRTEX is not set -# CONFIG_SYN_VIRTEXE is not set -# CONFIG_SYN_VIRTEX2 is not set -# CONFIG_SYN_VIRTEX4 is not set -# CONFIG_SYN_INFER_RAM is not set -# CONFIG_SYN_INFER_PADS is not set -# CONFIG_SYN_NO_ASYNC is not set - -# -# Clock generation -# -# CONFIG_CLK_INFERRED is not set -# CONFIG_CLK_HCLKBUF is not set -# CONFIG_CLK_ALTDLL is not set -# CONFIG_CLK_LATDLL is not set -# CONFIG_CLK_LIB18T is not set -# CONFIG_CLK_CLKDLL is not set -CONFIG_CLK_DCM=y -CONFIG_CLK_MUL=4 -CONFIG_CLK_DIV=5 -# CONFIG_PCI_CLKDLL is not set -# CONFIG_CLK_NOFB is not set -# CONFIG_PCI_SYSCLK is not set -CONFIG_LEON3=y -CONFIG_PROC_NUM=1 - -# -# Processor -# - -# -# Integer unit -# -CONFIG_IU_NWINDOWS=8 -CONFIG_IU_V8MULDIV=y -# CONFIG_IU_MUL_LATENCY_4 is not set -CONFIG_IU_MUL_LATENCY_5=y -CONFIG_IU_MUL_MAC=y -CONFIG_IU_SVT=y -CONFIG_IU_LDELAY=1 -CONFIG_IU_WATCHPOINTS=2 -CONFIG_PWD=y -CONFIG_IU_RSTADDR=00000 - -# -# Floating-point unit -# -# CONFIG_FPU_ENABLE is not set - -# -# Cache system -# -CONFIG_ICACHE_ENABLE=y -CONFIG_ICACHE_ASSO1=y -# CONFIG_ICACHE_ASSO2 is not set -# CONFIG_ICACHE_ASSO3 is not set -# CONFIG_ICACHE_ASSO4 is not set -# CONFIG_ICACHE_SZ1 is not set -# CONFIG_ICACHE_SZ2 is not set -# CONFIG_ICACHE_SZ4 is not set -CONFIG_ICACHE_SZ8=y -# CONFIG_ICACHE_SZ16 is not set -# CONFIG_ICACHE_SZ32 is not set -# CONFIG_ICACHE_SZ64 is not set -# CONFIG_ICACHE_SZ128 is not set -# CONFIG_ICACHE_SZ256 is not set -# CONFIG_ICACHE_LZ16 is not set -CONFIG_ICACHE_LZ32=y -CONFIG_DCACHE_ENABLE=y -CONFIG_DCACHE_ASSO1=y -# CONFIG_DCACHE_ASSO2 is not set -# CONFIG_DCACHE_ASSO3 is not set -# CONFIG_DCACHE_ASSO4 is not set -# CONFIG_DCACHE_SZ1 is not set -# CONFIG_DCACHE_SZ2 is not set -CONFIG_DCACHE_SZ4=y -# CONFIG_DCACHE_SZ8 is not set -# CONFIG_DCACHE_SZ16 is not set -# CONFIG_DCACHE_SZ32 is not set -# CONFIG_DCACHE_SZ64 is not set -# CONFIG_DCACHE_SZ128 is not set -# CONFIG_DCACHE_SZ256 is not set -# CONFIG_DCACHE_LZ16 is not set -CONFIG_DCACHE_LZ32=y -CONFIG_DCACHE_SNOOP=y -# CONFIG_DCACHE_SNOOP_FAST is not set -CONFIG_CACHE_FIXED=00F3 - -# -# MMU -# -CONFIG_MMU_ENABLE=y -# CONFIG_MMU_COMBINED is not set -CONFIG_MMU_SPLIT=y -CONFIG_MMU_REPARRAY=y -# CONFIG_MMU_REPINCREMENT is not set -# CONFIG_MMU_I2 is not set -# CONFIG_MMU_I4 is not set -CONFIG_MMU_I8=y -# CONFIG_MMU_I16 is not set -# CONFIG_MMU_I32 is not set -# CONFIG_MMU_D2 is not set -# CONFIG_MMU_D4 is not set -CONFIG_MMU_D8=y -# CONFIG_MMU_D16 is not set -# CONFIG_MMU_D32 is not set - -# -# Debug Support Unit -# -CONFIG_DSU_ENABLE=y -CONFIG_DSU_ITRACE=y -# CONFIG_DSU_ITRACESZ1 is not set -CONFIG_DSU_ITRACESZ2=y -# CONFIG_DSU_ITRACESZ4 is not set -# CONFIG_DSU_ITRACESZ8 is not set -# CONFIG_DSU_ITRACESZ16 is not set -CONFIG_DSU_ATRACE=y -# CONFIG_DSU_ATRACESZ1 is not set -CONFIG_DSU_ATRACESZ2=y -# CONFIG_DSU_ATRACESZ4 is not set -# CONFIG_DSU_ATRACESZ8 is not set -# CONFIG_DSU_ATRACESZ16 is not set - -# -# VHDL debug settings -# -# CONFIG_IU_DISAS is not set -# CONFIG_DEBUG_PC32 is not set - -# -# AMBA configuration -# -CONFIG_AHB_DEFMST=0 -CONFIG_AHB_RROBIN=y -# CONFIG_AHB_SPLIT is not set -CONFIG_AHB_IOADDR=FFF -CONFIG_APB_HADDR=800 - -# -# Debug Link -# -CONFIG_DSU_UART=y -CONFIG_DSU_JTAG=y -# CONFIG_USBDCL is not set -# CONFIG_DSU_ETH is not set - -# -# Peripherals -# - -# -# Memory controller -# - -# -# Leon2 memory controller -# -CONFIG_MCTRL_LEON2=y -CONFIG_MCTRL_8BIT=y -# CONFIG_MCTRL_16BIT is not set -# CONFIG_MCTRL_5CS is not set -CONFIG_MCTRL_SDRAM=y -# CONFIG_MCTRL_SDRAM_SEPBUS is not set -CONFIG_AHBSTAT_ENABLE=y -CONFIG_AHBSTAT_NFTSLV=1 - -# -# On-chip RAM/ROM -# -# CONFIG_AHBROM_ENABLE is not set -# CONFIG_AHBRAM_ENABLE is not set - -# -# Ethernet -# -CONFIG_GRETH_ENABLE=y -# CONFIG_GRETH_FIFO4 is not set -# CONFIG_GRETH_FIFO8 is not set -# CONFIG_GRETH_FIFO16 is not set -CONFIG_GRETH_FIFO32=y -# CONFIG_GRETH_FIFO64 is not set - -# -# IDE Disk controller -# -CONFIG_ATA_ENABLE=y -CONFIG_ATAIO=A00 -CONFIG_ATAIRQ=10 - -# -# CAN -# -# CONFIG_CAN_ENABLE is not set - -# -# UART, timer, I/O port and interrupt controller -# -CONFIG_UART1_ENABLE=y -# CONFIG_UA1_FIFO1 is not set -# CONFIG_UA1_FIFO2 is not set -# CONFIG_UA1_FIFO4 is not set -CONFIG_UA1_FIFO8=y -# CONFIG_UA1_FIFO16 is not set -# CONFIG_UA1_FIFO32 is not set -CONFIG_IRQ3_ENABLE=y -CONFIG_GPT_ENABLE=y -CONFIG_GPT_NTIM=2 -CONFIG_GPT_SW=8 -CONFIG_GPT_TW=32 -CONFIG_GPT_IRQ=8 -CONFIG_GPT_SEPIRQ=y -# CONFIG_GPT_WDOGEN is not set -CONFIG_GRGPIO_ENABLE=y -CONFIG_GRGPIO_WIDTH=18 -CONFIG_GRGPIO_IMASK=FFF0 - -# -# Spacewire -# -# CONFIG_SPW_ENABLE is not set - -# -# Keybord and VGA interface -# -CONFIG_KBD_ENABLE=y -CONFIG_VGA_ENABLE=y - -# -# VHDL Debugging -# -# CONFIG_DEBUG_UART is not set diff --git a/designs/beaglebone-test1/indata b/designs/beaglebone-test1/indata deleted file mode 100644 --- a/designs/beaglebone-test1/indata +++ /dev/null @@ -1,2370 +0,0 @@ -NYTT1 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -1101 -0000 -0000 -0000 -0000 -1010 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1000 -0000 -0000 -0000 -0101 -1000 -0000 -0000 -0011 -0000 -1110 -1110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0001 -0001 -0000 -0000 -0000 -0000 -0000 -1100 -1000 -1010 -0000 -0000 -1000 -0001 -0000 -1100 -1000 -1010 -0000 -0000 -0010 -0011 -0000 -0000 -0000 -0000 -0111 -0010 -0000 -0001 -0011 -0000 -1010 -1101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0010 -1111 -0000 -0000 -0000 -0000 -0000 -1010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -NYTT2 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -0101 -1101 -0000 -0000 -0000 -0000 -1010 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1111 -1000 -0000 -0000 -0000 -0101 -1000 -0000 -0000 -0000 -0000 -0010 -0110 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0001 -0001 -0000 -0000 -0000 -0000 -0000 -1100 -1000 -1010 -0000 -0000 -1000 -0001 -0000 -1100 -1000 -1010 -0000 -0000 -0010 -0011 -0000 -0000 -0000 -0000 -0111 -0010 -0000 -0001 -0000 -0000 -1110 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -1111 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0011 -0000 -1000 -1100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0111 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1001 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1010 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1011 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1100 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1101 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -1110 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 -0000 diff --git a/designs/beaglebone-test1/lconfig.tk b/designs/beaglebone-test1/lconfig.tk deleted file mode 100644 --- a/designs/beaglebone-test1/lconfig.tk +++ /dev/null @@ -1,6296 +0,0 @@ -# FILE: header.tk -# This file is boilerplate TCL/TK function definitions for 'make xconfig'. -# -# CHANGES -# ======= -# -# 8 January 1999, Michael Elizabeth Chastain, -# - Remove unused do_cmd function (part of the 2.0 sound support). -# - Arrange buttons in three columns for better screen fitting. -# - Add CONSTANT_Y, CONSTANT_M, CONSTANT_N for commands like: -# dep_tristate 'foo' CONFIG_FOO m -# -# 23 January 1999, Michael Elizabeth Chastain, -# - Shut vfix the hell up. -# -# 24 January 1999, Michael Elizabeth Chastain, -# - Improve the exit message (Jeff Ronne). - -# -# This is a handy replacement for ".widget cget" that requires neither tk4 -# nor additional source code uglification. -# -proc cget { w option } { - return "[lindex [$w configure $option] 4]" -} - -# -# Function to compensate for broken config.in scripts like the sound driver, -# which make dependencies on variables that are never even conditionally -# defined. -# -proc vfix { var } { - global $var - if [ catch {eval concat $$var} ] { - set $var 4 - } -} - -# -# Constant values used by certain dep_tristate commands. -# -set CONSTANT_Y 1 -set CONSTANT_M 2 -set CONSTANT_N 0 -set CONSTANT_E 4 - -# -# Create a "reference" object to steal colors from. -# -button .ref - -# -# On monochrome displays, -disabledforeground is blank by default; that's -# bad. Fill it with -foreground instead. -# -if { [cget .ref -disabledforeground] == "" } { - .ref configure -disabledforeground [cget .ref -foreground] -} - - -# -# Define some macros we will need to parse the config.in file. -# - -proc mainmenu_name { text } { - wm title . "$text" -} - -proc menu_option { w menu_num text } { - global menus_per_column - global processed_top_level - set processed_top_level [expr $processed_top_level + 1] - if { $processed_top_level <= $menus_per_column } then { - set myframe left - } elseif { $processed_top_level <= [expr 2 * $menus_per_column] } then { - set myframe middle - } else { - set myframe right - } - button .f0.x$menu_num -anchor w -text "$text" \ - -command "$w .$w \"$text\"" - pack .f0.x$menu_num -pady 0 -side top -fill x -in .f0.$myframe -} - -proc load_configfile { w title func } { - catch {destroy $w} - toplevel $w -class Dialog - global loadfile - frame $w.x - label $w.bm -bitmap questhead - pack $w.bm -pady 10 -side top -padx 10 - label $w.x.l -text "Enter filename:" -relief raised - entry $w.x.x -width 35 -relief sunken -borderwidth 2 \ - -textvariable loadfile - pack $w.x.l $w.x.x -anchor w -side left - pack $w.x -side top -pady 10 - wm title $w "$title" - - set oldFocus [focus] - frame $w.f - button $w.f.back -text "OK" -width 20 \ - -command "destroy $w; focus $oldFocus;$func .fileio" - button $w.f.canc -text "Cancel" \ - -width 20 -command "destroy $w; focus $oldFocus" - pack $w.f.back $w.f.canc -side left -pady 10 -padx 45 - pack $w.f -pady 10 -side bottom -padx 10 -anchor w - focus $w - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - wm geometry $w +$winx+$winy -} - -bind all {maybe_exit .maybe} - -proc maybe_exit { w } { - catch {destroy $w} - toplevel $w -class Dialog - label $w.bm -bitmap questhead - pack $w.bm -pady 10 -side top -padx 10 - message $w.m -width 400 -aspect 300 \ - -text "Changes will be lost. Are you sure?" -relief flat - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Are you sure?" - - set oldFocus [focus] - frame $w.f - button $w.f.back -text "OK" -width 20 \ - -command "exit 1" - button $w.f.canc -text "Cancel" \ - -width 20 -command "destroy $w; focus $oldFocus" - pack $w.f.back $w.f.canc -side left -pady 10 -padx 45 - pack $w.f -pady 10 -side bottom -padx 10 -anchor w - bind $w "exit 1" - bind $w "destroy $w; focus $oldFocus" - focus $w - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - wm geometry $w +$winx+$winy -} - -proc read_config_file { w } { - global loadfile - if { [string length $loadfile] != 0 && [file readable $loadfile] == 1 } then { - read_config $loadfile - } else { - catch {destroy $w} - toplevel $w -class Dialog - message $w.m -width 400 -aspect 300 -text \ - "Unable to read file $loadfile" \ - -relief raised - label $w.bm -bitmap error - pack $w.bm $w.m -pady 10 -side top -padx 10 - wm title $w "Xconfig Internal Error" - - set oldFocus [focus] - frame $w.f - button $w.f.back -text "Bummer" \ - -width 10 -command "destroy $w; focus $oldFocus" - pack $w.f.back -side bottom -pady 10 -anchor s - pack $w.f -pady 10 -side top -padx 10 -anchor s - focus $w - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - wm geometry $w +$winx+$winy - } -} - -proc write_config_file { w } { - global loadfile - if { [string length $loadfile] != 0 - && ([file writable $loadfile] == 1 || ([file exists $loadfile] == 0 && [file writable [file dirname $loadfile]] == 1)) } then { - writeconfig $loadfile .null - } else { - catch {destroy $w} - toplevel $w -class Dialog - message $w.m -width 400 -aspect 300 -text \ - "Unable to write file $loadfile" \ - -relief raised - label $w.bm -bitmap error - pack $w.bm $w.m -pady 10 -side top -padx 10 - wm title $w "Xconfig Internal Error" - - set oldFocus [focus] - frame $w.f - button $w.f.back -text "OK" \ - -width 10 -command "destroy $w; focus $oldFocus" - pack $w.f.back -side bottom -pady 10 -anchor s - pack $w.f -pady 10 -side top -padx 10 -anchor s - focus $w - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - wm geometry $w +$winx+$winy - } -} - -proc read_config { filename } { - set file1 [open $filename r] - clear_choices - while { [gets $file1 line] >= 0} { - if [regexp {([0-9A-Za-z_]+)=([ynm])} $line foo var value] { - if { $value == "y" } then { set cmd "global $var; set $var 1" } - if { $value == "n" } then { set cmd "global $var; set $var 0" } - if { $value == "m" } then { set cmd "global $var; set $var 2" } - eval $cmd - } - if [regexp {# ([0-9A-Za-z_]+) is not set} $line foo var] { - set cmd "global $var; set $var 0" - eval $cmd - } - if [regexp {([0-9A-Za-z_]+)=([0-9A-Fa-f]+)} $line foo var value] { - set cmd "global $var; set $var $value" - eval $cmd - } - if [regexp {([0-9A-Za-z_]+)="([^"]*)"} $line foo var value] { - set cmd "global $var; set $var \"$value\"" - eval $cmd - } - } - close $file1 - update_choices - update_mainmenu -} -proc write_comment { file1 file2 text } { - puts $file1 "" - puts $file1 "#" - puts $file1 "# $text" - puts $file1 "#" - puts $file2 "/*" - puts $file2 " * $text" - puts $file2 " */" -} - -proc effective_dep { deplist } { - global CONFIG_MODULES - set depend 1 - foreach i $deplist { - if {$i == 0} then {set depend 0} - if {$i == 2 && $depend == 1} then {set depend 2} - } - if {$depend == 2 && $CONFIG_MODULES == 0} then {set depend 0} - return $depend -} - -proc sync_tristate { var dep } { - global CONFIG_MODULES - if {$dep == 0 && ($var == 1 || $var == 2)} then { - set var 0 - } elseif {$dep == 2 && $var == 1} then { - set var 2 - } elseif {$var == 2 && $CONFIG_MODULES == 0} then { - if {$dep == 1} then {set var 1} else {set var 0} - } - return $var -} - -proc sync_bool { var dep modset } { - set var [sync_tristate $var $dep] - if {$dep == 2 && $var == 2} then { - set var $modset - } - return $var -} - -proc write_tristate { file1 file2 varname variable deplist modset } { - set variable [sync_tristate $variable [effective_dep $deplist]] - if { $variable == 2 } \ - then { set variable $modset } - if { $variable == 1 } \ - then { puts $file1 "$varname=y"; \ - puts $file2 "#define $varname 1" } \ - elseif { $variable == 2 } \ - then { puts $file1 "$varname=m"; \ - puts $file2 "#undef $varname"; \ - puts $file2 "#define ${varname}_MODULE 1" } \ - elseif { $variable == 0 } \ - then { puts $file1 "# $varname is not set"; \ - puts $file2 "#undef $varname"} \ - else { \ - puts stdout "ERROR - Attempting to write value for unconfigured variable ($varname)." \ - } -} - -proc write_int { file1 file2 varname variable dep } { - if { $dep == 0 } \ - then { puts $file1 "# $varname is not set"; \ - puts $file2 "#undef $varname"} \ - else { - puts $file1 "$varname=$variable"; \ - puts $file2 "#define $varname ($variable)"; \ - } -} - -proc write_hex { file1 file2 varname variable dep } { - if { $dep == 0 } \ - then { puts $file1 "# $varname is not set"; \ - puts $file2 "#undef $varname"} \ - else { - puts $file1 "$varname=$variable"; \ - puts -nonewline $file2 "#define $varname "; \ - puts $file2 [exec echo $variable | sed s/^0\[xX\]//]; \ - } -} - -proc write_string { file1 file2 varname variable dep } { - if { $dep == 0 } \ - then { puts $file1 "# $varname is not set"; \ - puts $file2 "#undef $varname"} \ - else { - puts $file1 "$varname=\"$variable\""; \ - puts $file2 "#define $varname \"$variable\""; \ - } -} - -proc option_name {w mnum line text helpidx} { - button $w.x$line.l -text "$text" -relief groove -anchor w - $w.x$line.l configure -activefore [cget $w.x$line.l -fg] \ - -activeback [cget $w.x$line.l -bg] - button $w.x$line.help -text "Help" -relief raised \ - -command "dohelp .dohelp $helpidx .menu$mnum" - pack $w.x$line.help -side right -fill y - pack $w.x$line.l -side right -fill both -expand on -} - -proc toggle_switch2 {w mnum line text variable} { - frame $w.x$line -relief sunken - radiobutton $w.x$line.y -text "y" -variable $variable -value 1 \ - -relief groove -width 2 -command "update_active" -# radiobutton $w.x$line.m -text "-" -variable $variable -value 2 \ -# -relief groove -width 2 -command "update_active" - radiobutton $w.x$line.n -text "n" -variable $variable -value 0 \ - -relief groove -width 2 -command "update_active" - - option_name $w $mnum $line $text $variable - - pack $w.x$line.n $w.x$line.y -side right -fill y -} - -proc toggle_switch3 {w mnum line text variable} { - frame $w.x$line -relief sunken - radiobutton $w.x$line.y -text "y" -variable $variable -value 1 \ - -relief groove -width 2 -command "update_active" - radiobutton $w.x$line.m -text "m" -variable $variable -value 2 \ - -relief groove -width 2 -command "update_active" - radiobutton $w.x$line.n -text "n" -variable $variable -value 0 \ - -relief groove -width 2 -command "update_active" - - option_name $w $mnum $line $text $variable - - global CONFIG_MODULES - if {($CONFIG_MODULES == 0)} then { - $w.x$line.m configure -state disabled - } - pack $w.x$line.n $w.x$line.m $w.x$line.y -side right -fill y -} - -proc bool {w mnum line text variable} { - toggle_switch2 $w $mnum $line $text $variable -# $w.x$line.m configure -state disabled - pack $w.x$line -anchor w -fill both -expand on -} - -proc tristate {w mnum line text variable } { - toggle_switch3 $w $mnum $line $text $variable - pack $w.x$line -anchor w -fill both -expand on -} - -proc dep_tristate {w mnum line text variable } { - tristate $w $mnum $line $text $variable -} - -proc dep_bool {w mnum line text variable } { - bool $w $mnum $line $text $variable -} - -proc int { w mnum line text variable } { - frame $w.x$line - entry $w.x$line.x -width 11 -relief sunken -borderwidth 2 \ - -textvariable $variable - option_name $w $mnum $line $text $variable - pack $w.x$line.x -anchor w -side right -fill y - pack $w.x$line -anchor w -fill both -expand on -} - -proc hex { w mnum line text variable } { - int $w $mnum $line $text $variable -} - -proc istring { w mnum line text variable } { - frame $w.x$line - entry $w.x$line.x -width 18 -relief sunken -borderwidth 2 \ - -textvariable $variable - option_name $w $mnum $line $text $variable - pack $w.x$line.x -anchor w -side right -fill y - pack $w.x$line -anchor w -fill both -expand on -} - -proc minimenu { w mnum line text variable helpidx } { - frame $w.x$line - menubutton $w.x$line.x -textvariable $variable -menu \ - $w.x$line.x.menu -relief raised \ - -anchor w - option_name $w $mnum $line $text $helpidx - pack $w.x$line.x -anchor w -side right -fill y - pack $w.x$line -anchor w -fill both -expand on -} - -proc menusplit {w m n} { - if { $n > 2 } then { - update idletasks - set menuoptsize [expr [$m yposition 2] - [$m yposition 1]] - set maxsize [winfo screenheight $w] - set splitpoint [expr $maxsize * 4 / 5 / $menuoptsize - 1] - for {set i [expr $splitpoint + 1]} {$i <= $n} {incr i $splitpoint} { - $m entryconfigure $i -columnbreak 1 - } - } -} - -proc menutitle {text menu w} { - wm title $w "$text" -} - -proc submenu { w mnum line text subnum } { - frame $w.x$line - button $w.x$line.l -text "" -width 9 -relief groove - $w.x$line.l configure -activefore [cget $w.x$line.l -fg] \ - -activeback [cget $w.x$line.l -bg] -state disabled - button $w.x$line.m -text "$text" -relief raised -anchor w \ - -command "catch {destroy .menu$subnum}; menu$subnum .menu$subnum \"$text\"" - pack $w.x$line.l -side left -fill both - pack $w.x$line.m -anchor w -side right -fill both -expand on - pack $w.x$line -anchor w -fill both -expand on -} - -proc comment {w mnum line text } { - frame $w.x$line - button $w.x$line.l -text "" -width 15 -relief groove - $w.x$line.l configure -activefore [cget $w.x$line.l -fg] \ - -activeback [cget $w.x$line.l -bg] -state disabled - button $w.x$line.m -text "$text" -relief groove -anchor w - $w.x$line.m configure -activefore [cget $w.x$line.m -fg] \ - -activeback [cget $w.x$line.m -bg] - pack $w.x$line.l -side left -fill both - pack $w.x$line.m -anchor w -side right -fill both -expand on - pack $w.x$line -anchor w -fill both -expand on -} - -proc readhelp {tag fn} { - set message "" - set b 0 - if { [file readable $fn] == 1} then { - set fhandle [open $fn r] - while {[gets $fhandle inline] >= 0} { - if { $b == 0 } { - if { [regexp $tag $inline ] } { - set b 1 - set message "$inline:\n" - } - } else { - if { [regexp {^[^ \t]} $inline]} { - break - } - set message "$message\n$inline" - } - } - close $fhandle - } - return $message -} - -proc dohelp {w var parent} { - catch {destroy $w} - toplevel $w -class Dialog - - set filefound 0 - set found 0 - set lineno 0 - - if { [file readable config.help] == 1} then { - set filefound 1 - # First escape sed regexp special characters in var: - set var [exec echo "$var" | sed s/\[\]\[\/.^$*\]/\\\\&/g] - # Now pick out right help text: - set message [readhelp $var config.help] - set found [expr [string length "$message"] > 0] - } - - frame $w.f1 - pack $w.f1 -fill both -expand on - - # Do the OK button - # - set oldFocus [focus] - frame $w.f2 - button $w.f2.ok -text "OK" \ - -width 10 -command "destroy $w; catch {focus $oldFocus}" - pack $w.f2.ok -side bottom -pady 6 -anchor n - pack $w.f2 -side bottom -padx 10 -anchor s - - scrollbar $w.f1.vscroll -command "$w.f1.canvas yview" - pack $w.f1.vscroll -side right -fill y - - canvas $w.f1.canvas -relief flat -borderwidth 0 \ - -yscrollcommand "$w.f1.vscroll set" - frame $w.f1.f - pack $w.f1.canvas -side right -fill y -expand on - - if { $found == 0 } then { - if { $filefound == 0 } then { - message $w.f1.f.m -width 750 -aspect 300 -relief flat -text \ - "No help available - unable to open file config.help." - } else { - message $w.f1.f.m -width 400 -aspect 300 -relief flat -text \ - "No help available for $var" - } - label $w.f1.bm -bitmap error - wm title $w "RTFM" - } else { - text $w.f1.f.m -width 73 -relief flat -wrap word - $w.f1.f.m insert 0.0 $message - $w.f1.f.m conf -state disabled -height [$w.f1.f.m index end] - - label $w.f1.bm -bitmap info - wm title $w "Configuration help" - } - pack $w.f1.f.m -side left - pack $w.f1.bm $w.f1.f -side left -padx 10 - - focus $w - set winx [expr [winfo x $parent]+20] - set winy [expr [winfo y $parent]+20] - wm geometry $w +$winx+$winy - set sizok [expr [winfo reqheight $w.f2.ok] + 12] - set maxy [expr [winfo screenheight .] * 3 / 4] - set canvtotal [winfo reqheight $w.f1.f.m] - if [expr $sizok + $canvtotal < $maxy] { - set sizy $canvtotal - } else { - set sizy [expr $maxy - $sizok] - } - $w.f1.canvas configure -height $sizy -width [winfo reqwidth $w.f1.f.m] \ - -scrollregion "0 0 [winfo reqwidth $w.f1.f.m] \ - [winfo reqheight $w.f1.f.m]" - $w.f1.canvas create window 0 0 -anchor nw -window $w.f1.f - update idletasks - - set maxy [winfo screenheight .] - if [expr $sizok + $canvtotal < $maxy] { - set sizy [expr $sizok + $canvtotal] - } else { - set sizy $maxy - } - wm maxsize $w [winfo width $w] $sizy -} - -bind all { catch {exec cp -f .config .config.old}; \ - writeconfig .config config.h; wrapup .wrap } - -proc wrapup {w } { - catch {destroy $w} - toplevel $w -class Dialog - - global CONFIG_MODVERSIONS; vfix CONFIG_MODVERSIONS - message $w.m -width 460 -aspect 300 -relief raised -text \ - "End of design configuration. " - label $w.bm -bitmap info - pack $w.bm $w.m -pady 10 -side top -padx 10 - wm title $w "LEON build instructions" - - set oldFocus [focus] - frame $w.f - button $w.f.back -text "OK" \ - -width 10 -command "exit 2" - pack $w.f.back -side bottom -pady 10 -anchor s - pack $w.f -pady 10 -side top -padx 10 -anchor s - focus $w - bind $w "exit 2" - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - wm geometry $w +$winx+$winy - -} - -proc unregister_active {num} { - global active_menus - set index [lsearch -exact $active_menus $num] - if {$index != -1} then {set active_menus [lreplace $active_menus $index $index]} -} - -proc update_active {} { - global active_menus total_menus - set max 0 - if {[llength $active_menus] > 0} then { - set max [lindex $active_menus end] - update_define [toplevel_menu [lindex $active_menus 0]] $max 0 - } - foreach i $active_menus { - if {[winfo exists .menu$i] == 0} then { - unregister_active $i - } else { - update_menu$i - } - } - update_define [expr $max + 1] $total_menus 1 - update_mainmenu -} - -proc configure_entry {w option items} { - foreach i $items { - $w.$i configure -state $option - } -} - -proc validate_int {name val default} { - if {([exec echo $val | sed s/^-//g | tr -d \[:digit:\] ] != "")} then { - global $name; set $name $default - } -} - -proc validate_hex {name val default} { - if {([exec echo $val | tr -d \[:xdigit:\] ] != "")} then { - global $name; set $name $default - } -} - -proc update_define {first last allow_update} { - for {set i $first} {$i <= $last} {incr i} { - update_define_menu$i - if {$allow_update == 1} then update - } -} - -# -# Next set up the particulars for the top level menu, and define a few -# buttons which we will stick down at the bottom. -# - -frame .f0 -frame .f0.left -frame .f0.middle -frame .f0.right - -set active_menus [list] -set processed_top_level 0 -set ARCH sparc -set menus_per_column 4 -set total_menus 24 - -proc toplevel_menu {num} { - if {$num == 4} then {return 3} - if {$num == 5} then {return 3} - if {$num == 6} then {return 3} - if {$num == 7} then {return 3} - if {$num == 8} then {return 3} - if {$num == 9} then {return 3} - if {$num == 10} then {return 3} - if {$num == 14} then {return 13} - if {$num == 15} then {return 14} - if {$num == 16} then {return 14} - if {$num == 17} then {return 13} - if {$num == 18} then {return 13} - if {$num == 19} then {return 13} - if {$num == 20} then {return 13} - if {$num == 21} then {return 13} - if {$num == 22} then {return 21} - if {$num == 23} then {return 21} - return $num -} - -mainmenu_name "LEON3MP Design Configuration" -menu_option menu1 1 "Synthesis " -proc menu1 {w title} { - set oldFocus [focus] - catch {destroy $w; unregister_active 1} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 1]] - message $w.m -width 400 -aspect 300 -text \ - "Synthesis " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Synthesis " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 1; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 1; menu2 .menu2 \"$title\"" - frame $w.f - button $w.f.back -text "Main Menu" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 1" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 1; menu0 .menu0 \"$title\"" - $w.f.prev configure -state disabled - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - global tmpvar_0 - minimenu $w.config.f 1 0 "Target technology " tmpvar_0 CONFIG_SYN_INFERRED - menu $w.config.f.x0.x.menu -tearoffcommand "menutitle \"Target technology \"" - $w.config.f.x0.x.menu add radiobutton -label "Inferred" -variable tmpvar_0 -value "Inferred" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Altera-Stratix" -variable tmpvar_0 -value "Altera-Stratix" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Altera-StratixII" -variable tmpvar_0 -value "Altera-StratixII" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Altera-StratixIII" -variable tmpvar_0 -value "Altera-StratixIII" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Altera-CycloneIII" -variable tmpvar_0 -value "Altera-CycloneIII" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Altera-Others" -variable tmpvar_0 -value "Altera-Others" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-Axcelerator" -variable tmpvar_0 -value "Actel-Axcelerator" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-Axcelerator-DSP" -variable tmpvar_0 -value "Actel-Axcelerator-DSP" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-Proasic" -variable tmpvar_0 -value "Actel-Proasic" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-ProasicPlus" -variable tmpvar_0 -value "Actel-ProasicPlus" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-Proasic3" -variable tmpvar_0 -value "Actel-Proasic3" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-Proasic3E" -variable tmpvar_0 -value "Actel-Proasic3E" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-Proasic3L" -variable tmpvar_0 -value "Actel-Proasic3L" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-IGLOO/p/L" -variable tmpvar_0 -value "Actel-IGLOO/p/L" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-Fusion" -variable tmpvar_0 -value "Actel-Fusion" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Aeroflex-UT025CRH" -variable tmpvar_0 -value "Aeroflex-UT025CRH" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Aeroflex-UT130HBD" -variable tmpvar_0 -value "Aeroflex-UT130HBD" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Aeroflex-UT90NHBD" -variable tmpvar_0 -value "Aeroflex-UT90NHBD" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Atmel-ATC18" -variable tmpvar_0 -value "Atmel-ATC18" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Atmel-ATC18RHA" -variable tmpvar_0 -value "Atmel-ATC18RHA" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "IBM-CMOS9SF" -variable tmpvar_0 -value "IBM-CMOS9SF" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Custom1" -variable tmpvar_0 -value "Custom1" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "eASIC90" -variable tmpvar_0 -value "eASIC90" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "eASIC45" -variable tmpvar_0 -value "eASIC45" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "IHP25" -variable tmpvar_0 -value "IHP25" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "IHP25RH" -variable tmpvar_0 -value "IHP25RH" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Lattice-EC/ECP/XP" -variable tmpvar_0 -value "Lattice-EC/ECP/XP" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Quicklogic-Eclipse" -variable tmpvar_0 -value "Quicklogic-Eclipse" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Peregrine" -variable tmpvar_0 -value "Peregrine" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "RH-LIB18T" -variable tmpvar_0 -value "RH-LIB18T" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "RH-UMC" -variable tmpvar_0 -value "RH-UMC" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "SMIC130" -variable tmpvar_0 -value "SMIC130" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "TM65Gplus" -variable tmpvar_0 -value "TM65Gplus" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "TSMC90" -variable tmpvar_0 -value "TSMC90" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "UMC180" -variable tmpvar_0 -value "UMC180" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Spartan2" -variable tmpvar_0 -value "Xilinx-Spartan2" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Spartan3" -variable tmpvar_0 -value "Xilinx-Spartan3" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Spartan3E" -variable tmpvar_0 -value "Xilinx-Spartan3E" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Spartan6" -variable tmpvar_0 -value "Xilinx-Spartan6" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Virtex" -variable tmpvar_0 -value "Xilinx-Virtex" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-VirtexE" -variable tmpvar_0 -value "Xilinx-VirtexE" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Virtex2" -variable tmpvar_0 -value "Xilinx-Virtex2" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Virtex4" -variable tmpvar_0 -value "Xilinx-Virtex4" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Virtex5" -variable tmpvar_0 -value "Xilinx-Virtex5" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-Virtex6" -variable tmpvar_0 -value "Xilinx-Virtex6" -command "update_active" - menusplit $w $w.config.f.x0.x.menu 45 - global tmpvar_1 - minimenu $w.config.f 1 1 "Memory Library " tmpvar_1 CONFIG_MEM_INFERRED - menu $w.config.f.x1.x.menu -tearoffcommand "menutitle \"Memory Library \"" - $w.config.f.x1.x.menu add radiobutton -label "Inferred" -variable tmpvar_1 -value "Inferred" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "UMC18" -variable tmpvar_1 -value "UMC18" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "RH-UMC" -variable tmpvar_1 -value "RH-UMC" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "Artisan" -variable tmpvar_1 -value "Artisan" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "Custom1" -variable tmpvar_1 -value "Custom1" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "Virage" -variable tmpvar_1 -value "Virage" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "Virage-TSMC90" -variable tmpvar_1 -value "Virage-TSMC90" -command "update_active" - menusplit $w $w.config.f.x1.x.menu 7 - bool $w.config.f 1 2 "Infer RAM" CONFIG_SYN_INFER_RAM - bool $w.config.f 1 3 "Infer pads" CONFIG_SYN_INFER_PADS - bool $w.config.f 1 4 "Disable asynchronous reset" CONFIG_SYN_NO_ASYNC - bool $w.config.f 1 5 "Enable scan support " CONFIG_SYN_SCAN - - - - focus $w - update_active - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu1 {} { - global CONFIG_SYN_INFERRED - global CONFIG_SYN_CUSTOM1 - global CONFIG_SYN_ATC18 - global CONFIG_SYN_TSMC90 - global CONFIG_SYN_UMC - global CONFIG_SYN_RHUMC - global CONFIG_SYN_ARTISAN - if {($CONFIG_SYN_INFERRED == 1 || $CONFIG_SYN_CUSTOM1 == 1 || $CONFIG_SYN_ATC18 == 1 || $CONFIG_SYN_TSMC90 == 1 || $CONFIG_SYN_UMC == 1 || $CONFIG_SYN_RHUMC == 1 || $CONFIG_SYN_ARTISAN == 1)} then {configure_entry .menu1.config.f.x1 normal {x l}} else {configure_entry .menu1.config.f.x1 disabled {x l}} - global CONFIG_SYN_INFER_RAM - if {($CONFIG_SYN_INFERRED != 1)} then { - configure_entry .menu1.config.f.x2 normal {n l y}} else {configure_entry .menu1.config.f.x2 disabled {y n l}} - global CONFIG_SYN_INFER_PADS - if {($CONFIG_SYN_INFERRED != 1)} then { - configure_entry .menu1.config.f.x3 normal {n l y}} else {configure_entry .menu1.config.f.x3 disabled {y n l}} -} - - -proc update_define_menu1 {} { - update_define_mainmenu - global CONFIG_MODULES - global tmpvar_0 - global CONFIG_SYN_INFERRED - if {$tmpvar_0 == "Inferred"} then {set CONFIG_SYN_INFERRED 1} else {set CONFIG_SYN_INFERRED 0} - global CONFIG_SYN_STRATIX - if {$tmpvar_0 == "Altera-Stratix"} then {set CONFIG_SYN_STRATIX 1} else {set CONFIG_SYN_STRATIX 0} - global CONFIG_SYN_STRATIXII - if {$tmpvar_0 == "Altera-StratixII"} then {set CONFIG_SYN_STRATIXII 1} else {set CONFIG_SYN_STRATIXII 0} - global CONFIG_SYN_STRATIXIII - if {$tmpvar_0 == "Altera-StratixIII"} then {set CONFIG_SYN_STRATIXIII 1} else {set CONFIG_SYN_STRATIXIII 0} - global CONFIG_SYN_CYCLONEIII - if {$tmpvar_0 == "Altera-CycloneIII"} then {set CONFIG_SYN_CYCLONEIII 1} else {set CONFIG_SYN_CYCLONEIII 0} - global CONFIG_SYN_ALTERA - if {$tmpvar_0 == "Altera-Others"} then {set CONFIG_SYN_ALTERA 1} else {set CONFIG_SYN_ALTERA 0} - global CONFIG_SYN_AXCEL - if {$tmpvar_0 == "Actel-Axcelerator"} then {set CONFIG_SYN_AXCEL 1} else {set CONFIG_SYN_AXCEL 0} - global CONFIG_SYN_AXDSP - if {$tmpvar_0 == "Actel-Axcelerator-DSP"} then {set CONFIG_SYN_AXDSP 1} else {set CONFIG_SYN_AXDSP 0} - global CONFIG_SYN_PROASIC - if {$tmpvar_0 == "Actel-Proasic"} then {set CONFIG_SYN_PROASIC 1} else {set CONFIG_SYN_PROASIC 0} - global CONFIG_SYN_PROASICPLUS - if {$tmpvar_0 == "Actel-ProasicPlus"} then {set CONFIG_SYN_PROASICPLUS 1} else {set CONFIG_SYN_PROASICPLUS 0} - global CONFIG_SYN_PROASIC3 - if {$tmpvar_0 == "Actel-Proasic3"} then {set CONFIG_SYN_PROASIC3 1} else {set CONFIG_SYN_PROASIC3 0} - global CONFIG_SYN_PROASIC3E - if {$tmpvar_0 == "Actel-Proasic3E"} then {set CONFIG_SYN_PROASIC3E 1} else {set CONFIG_SYN_PROASIC3E 0} - global CONFIG_SYN_PROASIC3L - if {$tmpvar_0 == "Actel-Proasic3L"} then {set CONFIG_SYN_PROASIC3L 1} else {set CONFIG_SYN_PROASIC3L 0} - global CONFIG_SYN_IGLOO - if {$tmpvar_0 == "Actel-IGLOO/p/L"} then {set CONFIG_SYN_IGLOO 1} else {set CONFIG_SYN_IGLOO 0} - global CONFIG_SYN_FUSION - if {$tmpvar_0 == "Actel-Fusion"} then {set CONFIG_SYN_FUSION 1} else {set CONFIG_SYN_FUSION 0} - global CONFIG_SYN_UT025CRH - if {$tmpvar_0 == "Aeroflex-UT025CRH"} then {set CONFIG_SYN_UT025CRH 1} else {set CONFIG_SYN_UT025CRH 0} - global CONFIG_SYN_UT130HBD - if {$tmpvar_0 == "Aeroflex-UT130HBD"} then {set CONFIG_SYN_UT130HBD 1} else {set CONFIG_SYN_UT130HBD 0} - global CONFIG_SYN_UT90NHBD - if {$tmpvar_0 == "Aeroflex-UT90NHBD"} then {set CONFIG_SYN_UT90NHBD 1} else {set CONFIG_SYN_UT90NHBD 0} - global CONFIG_SYN_ATC18 - if {$tmpvar_0 == "Atmel-ATC18"} then {set CONFIG_SYN_ATC18 1} else {set CONFIG_SYN_ATC18 0} - global CONFIG_SYN_ATC18RHA - if {$tmpvar_0 == "Atmel-ATC18RHA"} then {set CONFIG_SYN_ATC18RHA 1} else {set CONFIG_SYN_ATC18RHA 0} - global CONFIG_SYN_CMOS9SF - if {$tmpvar_0 == "IBM-CMOS9SF"} then {set CONFIG_SYN_CMOS9SF 1} else {set CONFIG_SYN_CMOS9SF 0} - global CONFIG_SYN_CUSTOM1 - if {$tmpvar_0 == "Custom1"} then {set CONFIG_SYN_CUSTOM1 1} else {set CONFIG_SYN_CUSTOM1 0} - global CONFIG_SYN_EASIC90 - if {$tmpvar_0 == "eASIC90"} then {set CONFIG_SYN_EASIC90 1} else {set CONFIG_SYN_EASIC90 0} - global CONFIG_SYN_EASIC45 - if {$tmpvar_0 == "eASIC45"} then {set CONFIG_SYN_EASIC45 1} else {set CONFIG_SYN_EASIC45 0} - global CONFIG_SYN_IHP25 - if {$tmpvar_0 == "IHP25"} then {set CONFIG_SYN_IHP25 1} else {set CONFIG_SYN_IHP25 0} - global CONFIG_SYN_IHP25RH - if {$tmpvar_0 == "IHP25RH"} then {set CONFIG_SYN_IHP25RH 1} else {set CONFIG_SYN_IHP25RH 0} - global CONFIG_SYN_LATTICE - if {$tmpvar_0 == "Lattice-EC/ECP/XP"} then {set CONFIG_SYN_LATTICE 1} else {set CONFIG_SYN_LATTICE 0} - global CONFIG_SYN_ECLIPSE - if {$tmpvar_0 == "Quicklogic-Eclipse"} then {set CONFIG_SYN_ECLIPSE 1} else {set CONFIG_SYN_ECLIPSE 0} - global CONFIG_SYN_PEREGRINE - if {$tmpvar_0 == "Peregrine"} then {set CONFIG_SYN_PEREGRINE 1} else {set CONFIG_SYN_PEREGRINE 0} - global CONFIG_SYN_RH_LIB18T - if {$tmpvar_0 == "RH-LIB18T"} then {set CONFIG_SYN_RH_LIB18T 1} else {set CONFIG_SYN_RH_LIB18T 0} - global CONFIG_SYN_RHUMC - if {$tmpvar_0 == "RH-UMC"} then {set CONFIG_SYN_RHUMC 1} else {set CONFIG_SYN_RHUMC 0} - global CONFIG_SYN_SMIC13 - if {$tmpvar_0 == "SMIC130"} then {set CONFIG_SYN_SMIC13 1} else {set CONFIG_SYN_SMIC13 0} - global CONFIG_SYN_TM65GPLUS - if {$tmpvar_0 == "TM65Gplus"} then {set CONFIG_SYN_TM65GPLUS 1} else {set CONFIG_SYN_TM65GPLUS 0} - global CONFIG_SYN_TSMC90 - if {$tmpvar_0 == "TSMC90"} then {set CONFIG_SYN_TSMC90 1} else {set CONFIG_SYN_TSMC90 0} - global CONFIG_SYN_UMC - if {$tmpvar_0 == "UMC180"} then {set CONFIG_SYN_UMC 1} else {set CONFIG_SYN_UMC 0} - global CONFIG_SYN_SPARTAN2 - if {$tmpvar_0 == "Xilinx-Spartan2"} then {set CONFIG_SYN_SPARTAN2 1} else {set CONFIG_SYN_SPARTAN2 0} - global CONFIG_SYN_SPARTAN3 - if {$tmpvar_0 == "Xilinx-Spartan3"} then {set CONFIG_SYN_SPARTAN3 1} else {set CONFIG_SYN_SPARTAN3 0} - global CONFIG_SYN_SPARTAN3E - if {$tmpvar_0 == "Xilinx-Spartan3E"} then {set CONFIG_SYN_SPARTAN3E 1} else {set CONFIG_SYN_SPARTAN3E 0} - global CONFIG_SYN_SPARTAN6 - if {$tmpvar_0 == "Xilinx-Spartan6"} then {set CONFIG_SYN_SPARTAN6 1} else {set CONFIG_SYN_SPARTAN6 0} - global CONFIG_SYN_VIRTEX - if {$tmpvar_0 == "Xilinx-Virtex"} then {set CONFIG_SYN_VIRTEX 1} else {set CONFIG_SYN_VIRTEX 0} - global CONFIG_SYN_VIRTEXE - if {$tmpvar_0 == "Xilinx-VirtexE"} then {set CONFIG_SYN_VIRTEXE 1} else {set CONFIG_SYN_VIRTEXE 0} - global CONFIG_SYN_VIRTEX2 - if {$tmpvar_0 == "Xilinx-Virtex2"} then {set CONFIG_SYN_VIRTEX2 1} else {set CONFIG_SYN_VIRTEX2 0} - global CONFIG_SYN_VIRTEX4 - if {$tmpvar_0 == "Xilinx-Virtex4"} then {set CONFIG_SYN_VIRTEX4 1} else {set CONFIG_SYN_VIRTEX4 0} - global CONFIG_SYN_VIRTEX5 - if {$tmpvar_0 == "Xilinx-Virtex5"} then {set CONFIG_SYN_VIRTEX5 1} else {set CONFIG_SYN_VIRTEX5 0} - global CONFIG_SYN_VIRTEX6 - if {$tmpvar_0 == "Xilinx-Virtex6"} then {set CONFIG_SYN_VIRTEX6 1} else {set CONFIG_SYN_VIRTEX6 0} - global tmpvar_1 - global CONFIG_MEM_INFERRED - if {$tmpvar_1 == "Inferred"} then {set CONFIG_MEM_INFERRED 1} else {set CONFIG_MEM_INFERRED 0} - global CONFIG_MEM_UMC - if {$tmpvar_1 == "UMC18"} then {set CONFIG_MEM_UMC 1} else {set CONFIG_MEM_UMC 0} - global CONFIG_MEM_RHUMC - if {$tmpvar_1 == "RH-UMC"} then {set CONFIG_MEM_RHUMC 1} else {set CONFIG_MEM_RHUMC 0} - global CONFIG_MEM_ARTISAN - if {$tmpvar_1 == "Artisan"} then {set CONFIG_MEM_ARTISAN 1} else {set CONFIG_MEM_ARTISAN 0} - global CONFIG_MEM_CUSTOM1 - if {$tmpvar_1 == "Custom1"} then {set CONFIG_MEM_CUSTOM1 1} else {set CONFIG_MEM_CUSTOM1 0} - global CONFIG_MEM_VIRAGE - if {$tmpvar_1 == "Virage"} then {set CONFIG_MEM_VIRAGE 1} else {set CONFIG_MEM_VIRAGE 0} - global CONFIG_MEM_VIRAGE90 - if {$tmpvar_1 == "Virage-TSMC90"} then {set CONFIG_MEM_VIRAGE90 1} else {set CONFIG_MEM_VIRAGE90 0} - global CONFIG_SYN_INFER_RAM - if {($CONFIG_SYN_INFERRED != 1)} then { - set CONFIG_SYN_INFER_RAM [expr $CONFIG_SYN_INFER_RAM&15]} else {set CONFIG_SYN_INFER_RAM [expr $CONFIG_SYN_INFER_RAM|16]} - global CONFIG_SYN_INFER_PADS - if {($CONFIG_SYN_INFERRED != 1)} then { - set CONFIG_SYN_INFER_PADS [expr $CONFIG_SYN_INFER_PADS&15]} else {set CONFIG_SYN_INFER_PADS [expr $CONFIG_SYN_INFER_PADS|16]} -} - - -menu_option menu2 2 "Clock generation" -proc menu2 {w title} { - set oldFocus [focus] - catch {destroy $w; unregister_active 2} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 2]] - message $w.m -width 400 -aspect 300 -text \ - "Clock generation" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Clock generation" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 2; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 2; menu3 .menu3 \"$title\"" - frame $w.f - button $w.f.back -text "Main Menu" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 2" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 2; menu1 .menu1 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 2; menu1 .menu1 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - global tmpvar_2 - minimenu $w.config.f 2 0 "Clock generator " tmpvar_2 CONFIG_CLK_INFERRED - menu $w.config.f.x0.x.menu -tearoffcommand "menutitle \"Clock generator \"" - $w.config.f.x0.x.menu add radiobutton -label "Inferred" -variable tmpvar_2 -value "Inferred" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Actel-HCLKBUF" -variable tmpvar_2 -value "Actel-HCLKBUF" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Aeroflex-UT130HBD" -variable tmpvar_2 -value "Aeroflex-UT130HBD" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Altera-ALTPLL" -variable tmpvar_2 -value "Altera-ALTPLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Lattice-EXPLL" -variable tmpvar_2 -value "Lattice-EXPLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Proasic3-PLL" -variable tmpvar_2 -value "Proasic3-PLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Proasic3E-PLL" -variable tmpvar_2 -value "Proasic3E-PLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Proasic3L-PLL" -variable tmpvar_2 -value "Proasic3L-PLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Fusion-PLL" -variable tmpvar_2 -value "Fusion-PLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "RH-LIB18T-PLL" -variable tmpvar_2 -value "RH-LIB18T-PLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "DARE-PLL" -variable tmpvar_2 -value "DARE-PLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-CLKDLL" -variable tmpvar_2 -value "Xilinx-CLKDLL" -command "update_active" - $w.config.f.x0.x.menu add radiobutton -label "Xilinx-DCM" -variable tmpvar_2 -value "Xilinx-DCM" -command "update_active" - menusplit $w $w.config.f.x0.x.menu 13 - int $w.config.f 2 1 "Clock multiplication factor (allowed values are tech dependent)" CONFIG_CLK_MUL - int $w.config.f 2 2 "Clock division factor (allowed values are tech dependent)" CONFIG_CLK_DIV - int $w.config.f 2 3 "Outout division factor (1 - 32)" CONFIG_OCLK_DIV - int $w.config.f 2 4 "Outout division factor, 2nd clk (0 - 32, see help)" CONFIG_OCLKB_DIV - int $w.config.f 2 5 "Outout division factor, 3rd clk (0 - 32, see help)" CONFIG_OCLKC_DIV - bool $w.config.f 2 6 "Enable Xilinx CLKDLL for PCI clock" CONFIG_PCI_CLKDLL - bool $w.config.f 2 7 "Disable external feedback for SDRAM clock" CONFIG_CLK_NOFB - bool $w.config.f 2 8 "Use PCI clock as system clock" CONFIG_PCI_SYSCLK - - - - focus $w - update_active - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu2 {} { - global CONFIG_CLK_DCM - global CONFIG_CLK_ALTDLL - global CONFIG_CLK_LATDLL - global CONFIG_CLK_PRO3PLL - global CONFIG_CLK_PRO3EPLL - global CONFIG_CLK_PRO3LPLL - global CONFIG_CLK_CLKDLL - global CONFIG_CLK_LIB18T - global CONFIG_CLK_FUSPLL - global CONFIG_CLK_MUL - if {($CONFIG_CLK_DCM == 1 || $CONFIG_CLK_ALTDLL == 1 || $CONFIG_CLK_LATDLL == 1 || $CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_LIB18T == 1 || $CONFIG_CLK_FUSPLL == 1)} then {.menu2.config.f.x1.x configure -state normal -foreground [ cget .ref -foreground ]; .menu2.config.f.x1.l configure -state normal; } else {.menu2.config.f.x1.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu2.config.f.x1.l configure -state disabled} - global CONFIG_CLK_DIV - if {($CONFIG_CLK_DCM == 1 || $CONFIG_CLK_ALTDLL == 1 || $CONFIG_CLK_LATDLL == 1 || $CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_LIB18T == 1 || $CONFIG_CLK_FUSPLL == 1)} then {.menu2.config.f.x2.x configure -state normal -foreground [ cget .ref -foreground ]; .menu2.config.f.x2.l configure -state normal; } else {.menu2.config.f.x2.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu2.config.f.x2.l configure -state disabled} - global CONFIG_OCLK_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {.menu2.config.f.x3.x configure -state normal -foreground [ cget .ref -foreground ]; .menu2.config.f.x3.l configure -state normal; } else {.menu2.config.f.x3.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu2.config.f.x3.l configure -state disabled} - global CONFIG_OCLKB_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {.menu2.config.f.x4.x configure -state normal -foreground [ cget .ref -foreground ]; .menu2.config.f.x4.l configure -state normal; } else {.menu2.config.f.x4.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu2.config.f.x4.l configure -state disabled} - global CONFIG_OCLKC_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {.menu2.config.f.x5.x configure -state normal -foreground [ cget .ref -foreground ]; .menu2.config.f.x5.l configure -state normal; } else {.menu2.config.f.x5.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu2.config.f.x5.l configure -state disabled} - global CONFIG_PCI_CLKDLL - if {($CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_DCM == 1)} then { - configure_entry .menu2.config.f.x6 normal {n l y}} else {configure_entry .menu2.config.f.x6 disabled {y n l}} - global CONFIG_CLK_NOFB - if {($CONFIG_CLK_DCM == 1)} then { - configure_entry .menu2.config.f.x7 normal {n l y}} else {configure_entry .menu2.config.f.x7 disabled {y n l}} - global CONFIG_PCI_ENABLE - global CONFIG_PCI_SYSCLK - if {($CONFIG_PCI_ENABLE != 1)} then { - configure_entry .menu2.config.f.x8 normal {n l y}} else {configure_entry .menu2.config.f.x8 disabled {y n l}} -} - - -proc update_define_menu2 {} { - update_define_mainmenu - global CONFIG_MODULES - global tmpvar_2 - global CONFIG_CLK_INFERRED - if {$tmpvar_2 == "Inferred"} then {set CONFIG_CLK_INFERRED 1} else {set CONFIG_CLK_INFERRED 0} - global CONFIG_CLK_HCLKBUF - if {$tmpvar_2 == "Actel-HCLKBUF"} then {set CONFIG_CLK_HCLKBUF 1} else {set CONFIG_CLK_HCLKBUF 0} - global CONFIG_CLK_UT130HBD - if {$tmpvar_2 == "Aeroflex-UT130HBD"} then {set CONFIG_CLK_UT130HBD 1} else {set CONFIG_CLK_UT130HBD 0} - global CONFIG_CLK_ALTDLL - if {$tmpvar_2 == "Altera-ALTPLL"} then {set CONFIG_CLK_ALTDLL 1} else {set CONFIG_CLK_ALTDLL 0} - global CONFIG_CLK_LATDLL - if {$tmpvar_2 == "Lattice-EXPLL"} then {set CONFIG_CLK_LATDLL 1} else {set CONFIG_CLK_LATDLL 0} - global CONFIG_CLK_PRO3PLL - if {$tmpvar_2 == "Proasic3-PLL"} then {set CONFIG_CLK_PRO3PLL 1} else {set CONFIG_CLK_PRO3PLL 0} - global CONFIG_CLK_PRO3EPLL - if {$tmpvar_2 == "Proasic3E-PLL"} then {set CONFIG_CLK_PRO3EPLL 1} else {set CONFIG_CLK_PRO3EPLL 0} - global CONFIG_CLK_PRO3LPLL - if {$tmpvar_2 == "Proasic3L-PLL"} then {set CONFIG_CLK_PRO3LPLL 1} else {set CONFIG_CLK_PRO3LPLL 0} - global CONFIG_CLK_FUSPLL - if {$tmpvar_2 == "Fusion-PLL"} then {set CONFIG_CLK_FUSPLL 1} else {set CONFIG_CLK_FUSPLL 0} - global CONFIG_CLK_LIB18T - if {$tmpvar_2 == "RH-LIB18T-PLL"} then {set CONFIG_CLK_LIB18T 1} else {set CONFIG_CLK_LIB18T 0} - global CONFIG_CLK_RHUMC - if {$tmpvar_2 == "DARE-PLL"} then {set CONFIG_CLK_RHUMC 1} else {set CONFIG_CLK_RHUMC 0} - global CONFIG_CLK_CLKDLL - if {$tmpvar_2 == "Xilinx-CLKDLL"} then {set CONFIG_CLK_CLKDLL 1} else {set CONFIG_CLK_CLKDLL 0} - global CONFIG_CLK_DCM - if {$tmpvar_2 == "Xilinx-DCM"} then {set CONFIG_CLK_DCM 1} else {set CONFIG_CLK_DCM 0} - global CONFIG_CLK_MUL - if {($CONFIG_CLK_DCM == 1 || $CONFIG_CLK_ALTDLL == 1 || $CONFIG_CLK_LATDLL == 1 || $CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_LIB18T == 1 || $CONFIG_CLK_FUSPLL == 1)} then {validate_int CONFIG_CLK_MUL "$CONFIG_CLK_MUL" 2} - global CONFIG_CLK_DIV - if {($CONFIG_CLK_DCM == 1 || $CONFIG_CLK_ALTDLL == 1 || $CONFIG_CLK_LATDLL == 1 || $CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_LIB18T == 1 || $CONFIG_CLK_FUSPLL == 1)} then {validate_int CONFIG_CLK_DIV "$CONFIG_CLK_DIV" 2} - global CONFIG_OCLK_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {validate_int CONFIG_OCLK_DIV "$CONFIG_OCLK_DIV" 1} - global CONFIG_OCLKB_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {validate_int CONFIG_OCLKB_DIV "$CONFIG_OCLKB_DIV" 0} - global CONFIG_OCLKC_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {validate_int CONFIG_OCLKC_DIV "$CONFIG_OCLKC_DIV" 0} - global CONFIG_PCI_CLKDLL - if {($CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_DCM == 1)} then { - set CONFIG_PCI_CLKDLL [expr $CONFIG_PCI_CLKDLL&15]} else {set CONFIG_PCI_CLKDLL [expr $CONFIG_PCI_CLKDLL|16]} - global CONFIG_CLK_NOFB - if {($CONFIG_CLK_DCM == 1)} then { - set CONFIG_CLK_NOFB [expr $CONFIG_CLK_NOFB&15]} else {set CONFIG_CLK_NOFB [expr $CONFIG_CLK_NOFB|16]} - global CONFIG_PCI_ENABLE - global CONFIG_PCI_SYSCLK - if {($CONFIG_PCI_ENABLE != 1)} then { - set CONFIG_PCI_SYSCLK [expr $CONFIG_PCI_SYSCLK&15]} else {set CONFIG_PCI_SYSCLK [expr $CONFIG_PCI_SYSCLK|16]} -} - - -menu_option menu3 3 "Processor " -proc menu3 {w title} { - set oldFocus [focus] - catch {destroy $w; unregister_active 3} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 3]] - message $w.m -width 400 -aspect 300 -text \ - "Processor " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Processor " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 3; break" - set nextscript "catch {focus $oldFocus}; menu4 .menu4 \"$title\"" - frame $w.f - button $w.f.back -text "Main Menu" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 3" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 3; menu2 .menu2 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 3; menu2 .menu2 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 3 0 "Enable LEON3 SPARC V8 Processor" CONFIG_LEON3 - int $w.config.f 3 1 "Number of processors" CONFIG_PROC_NUM - submenu $w.config.f 3 2 "Integer unit " 4 - submenu $w.config.f 3 3 "Floating-point unit" 5 - submenu $w.config.f 3 4 "Cache system" 6 - submenu $w.config.f 3 5 "MMU" 7 - submenu $w.config.f 3 6 "Debug Support Unit " 8 - submenu $w.config.f 3 7 "Fault-tolerance " 9 - submenu $w.config.f 3 8 "VHDL debug settings " 10 - - - - focus $w - update_active - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu3 {} { - global CONFIG_LEON3 - global CONFIG_PROC_NUM - if {($CONFIG_LEON3 == 1)} then {.menu3.config.f.x1.x configure -state normal -foreground [ cget .ref -foreground ]; .menu3.config.f.x1.l configure -state normal; } else {.menu3.config.f.x1.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu3.config.f.x1.l configure -state disabled} - if {($CONFIG_LEON3 == 1)} then {configure_entry .menu3.config.f.x2 normal {m}} else {configure_entry .menu3.config.f.x2 disabled {m}} - if {($CONFIG_LEON3 == 1)} then {configure_entry .menu3.config.f.x3 normal {m}} else {configure_entry .menu3.config.f.x3 disabled {m}} - if {($CONFIG_LEON3 == 1)} then {configure_entry .menu3.config.f.x4 normal {m}} else {configure_entry .menu3.config.f.x4 disabled {m}} - if {($CONFIG_LEON3 == 1)} then {configure_entry .menu3.config.f.x5 normal {m}} else {configure_entry .menu3.config.f.x5 disabled {m}} - if {($CONFIG_LEON3 == 1)} then {configure_entry .menu3.config.f.x6 normal {m}} else {configure_entry .menu3.config.f.x6 disabled {m}} - if {($CONFIG_LEON3 == 1)} then {configure_entry .menu3.config.f.x7 normal {m}} else {configure_entry .menu3.config.f.x7 disabled {m}} - if {($CONFIG_LEON3 == 1)} then {configure_entry .menu3.config.f.x8 normal {m}} else {configure_entry .menu3.config.f.x8 disabled {m}} -} - - -proc update_define_menu3 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_PROC_NUM - if {($CONFIG_LEON3 == 1)} then {validate_int CONFIG_PROC_NUM "$CONFIG_PROC_NUM" 1} -} - - -proc menu4 {w title} { - set oldFocus [focus] - catch {focus .menu3} - catch {destroy $w; unregister_active 4} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 4]] - message $w.m -width 400 -aspect 300 -text \ - "Integer unit " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Integer unit " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 4; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 4; menu5 .menu5 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 4" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 4; menu3 .menu3 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 4; menu3 .menu3 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - int $w.config.f 4 0 "SPARC register windows" CONFIG_IU_NWINDOWS - bool $w.config.f 4 1 "SPARC V8 MUL/DIV instructions" CONFIG_IU_V8MULDIV - global tmpvar_3 - minimenu $w.config.f 4 2 "Hardware multiplier latency" tmpvar_3 CONFIG_IU_MUL_LATENCY_2 - menu $w.config.f.x2.x.menu -tearoffcommand "menutitle \"Hardware multiplier latency\"" - $w.config.f.x2.x.menu add radiobutton -label "2-cycles" -variable tmpvar_3 -value "2-cycles" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "4-cycles" -variable tmpvar_3 -value "4-cycles" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "5-cycles" -variable tmpvar_3 -value "5-cycles" -command "update_active" - menusplit $w $w.config.f.x2.x.menu 3 - bool $w.config.f 4 3 "SPARC V8e SMAC/UMAC instructions " CONFIG_IU_MUL_MAC - global tmpvar_4 - minimenu $w.config.f 4 4 "Multipler structure " tmpvar_4 CONFIG_IU_MUL_INFERRED - menu $w.config.f.x4.x.menu -tearoffcommand "menutitle \"Multipler structure \"" - $w.config.f.x4.x.menu add radiobutton -label "Inferred" -variable tmpvar_4 -value "Inferred" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "NTNU_Modgen" -variable tmpvar_4 -value "NTNU_Modgen" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "TechSpec" -variable tmpvar_4 -value "TechSpec" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "Designware" -variable tmpvar_4 -value "Designware" -command "update_active" - menusplit $w $w.config.f.x4.x.menu 4 - bool $w.config.f 4 5 "Branch prediction " CONFIG_IU_BP - bool $w.config.f 4 6 "Single-vector trapping" CONFIG_IU_SVT - bool $w.config.f 4 7 "Disable tagged ADD/SUB and CASA" CONFIG_NOTAG - int $w.config.f 4 8 "Load delay" CONFIG_IU_LDELAY - int $w.config.f 4 9 "Hardware watchpoints" CONFIG_IU_WATCHPOINTS - bool $w.config.f 4 10 "Enable power-down mode " CONFIG_PWD - hex $w.config.f 4 11 " Reset start address (addr\[31:12\]) " CONFIG_IU_RSTADDR - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu3] == 0} then {menu3 .menu3 "Processor "} - set winx [expr [winfo x .menu3]+30]; set winy [expr [winfo y .menu3]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu4 {} { - global CONFIG_LEON3 - global CONFIG_IU_NWINDOWS - if {($CONFIG_LEON3 == 1)} then {.menu4.config.f.x0.x configure -state normal -foreground [ cget .ref -foreground ]; .menu4.config.f.x0.l configure -state normal; } else {.menu4.config.f.x0.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu4.config.f.x0.l configure -state disabled} - global CONFIG_IU_V8MULDIV - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu4.config.f.x1 normal {n l y}} else {configure_entry .menu4.config.f.x1 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_V8MULDIV == 1)} then {configure_entry .menu4.config.f.x2 normal {x l}} else {configure_entry .menu4.config.f.x2 disabled {x l}} - global CONFIG_IU_MUL_LATENCY_4 - global CONFIG_IU_MUL_LATENCY_5 - global CONFIG_IU_MUL_MAC - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_V8MULDIV == 1) && ($CONFIG_IU_MUL_LATENCY_4 == 1 || $CONFIG_IU_MUL_LATENCY_5 == 1)} then { - configure_entry .menu4.config.f.x3 normal {n l y}} else {configure_entry .menu4.config.f.x3 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_V8MULDIV == 1)} then {configure_entry .menu4.config.f.x4 normal {x l}} else {configure_entry .menu4.config.f.x4 disabled {x l}} - global CONFIG_IU_BP - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu4.config.f.x5 normal {n l y}} else {configure_entry .menu4.config.f.x5 disabled {y n l}} - global CONFIG_IU_SVT - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu4.config.f.x6 normal {n l y}} else {configure_entry .menu4.config.f.x6 disabled {y n l}} - global CONFIG_NOTAG - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu4.config.f.x7 normal {n l y}} else {configure_entry .menu4.config.f.x7 disabled {y n l}} - global CONFIG_IU_LDELAY - if {($CONFIG_LEON3 == 1)} then {.menu4.config.f.x8.x configure -state normal -foreground [ cget .ref -foreground ]; .menu4.config.f.x8.l configure -state normal; } else {.menu4.config.f.x8.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu4.config.f.x8.l configure -state disabled} - global CONFIG_IU_WATCHPOINTS - if {($CONFIG_LEON3 == 1)} then {.menu4.config.f.x9.x configure -state normal -foreground [ cget .ref -foreground ]; .menu4.config.f.x9.l configure -state normal; } else {.menu4.config.f.x9.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu4.config.f.x9.l configure -state disabled} - global CONFIG_PWD - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu4.config.f.x10 normal {n l y}} else {configure_entry .menu4.config.f.x10 disabled {y n l}} - global CONFIG_IU_RSTADDR - if {($CONFIG_LEON3 == 1)} then {.menu4.config.f.x11.x configure -state normal -foreground [ cget .ref -foreground ]; .menu4.config.f.x11.l configure -state normal; } else {.menu4.config.f.x11.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu4.config.f.x11.l configure -state disabled} -} - - -proc update_define_menu4 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_IU_NWINDOWS - if {($CONFIG_LEON3 == 1)} then {validate_int CONFIG_IU_NWINDOWS "$CONFIG_IU_NWINDOWS" 8} - global CONFIG_IU_V8MULDIV - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_IU_V8MULDIV [expr $CONFIG_IU_V8MULDIV&15]} else {set CONFIG_IU_V8MULDIV [expr $CONFIG_IU_V8MULDIV|16]} - global tmpvar_3 - global CONFIG_IU_MUL_LATENCY_2 - if {$tmpvar_3 == "2-cycles"} then {set CONFIG_IU_MUL_LATENCY_2 1} else {set CONFIG_IU_MUL_LATENCY_2 0} - global CONFIG_IU_MUL_LATENCY_4 - if {$tmpvar_3 == "4-cycles"} then {set CONFIG_IU_MUL_LATENCY_4 1} else {set CONFIG_IU_MUL_LATENCY_4 0} - global CONFIG_IU_MUL_LATENCY_5 - if {$tmpvar_3 == "5-cycles"} then {set CONFIG_IU_MUL_LATENCY_5 1} else {set CONFIG_IU_MUL_LATENCY_5 0} - global CONFIG_IU_MUL_MAC - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_V8MULDIV == 1) && ($CONFIG_IU_MUL_LATENCY_4 == 1 || $CONFIG_IU_MUL_LATENCY_5 == 1)} then { - set CONFIG_IU_MUL_MAC [expr $CONFIG_IU_MUL_MAC&15]} else {set CONFIG_IU_MUL_MAC [expr $CONFIG_IU_MUL_MAC|16]} - global tmpvar_4 - global CONFIG_IU_MUL_INFERRED - if {$tmpvar_4 == "Inferred"} then {set CONFIG_IU_MUL_INFERRED 1} else {set CONFIG_IU_MUL_INFERRED 0} - global CONFIG_IU_MUL_MODGEN - if {$tmpvar_4 == "NTNU_Modgen"} then {set CONFIG_IU_MUL_MODGEN 1} else {set CONFIG_IU_MUL_MODGEN 0} - global CONFIG_IU_MUL_TECHSPEC - if {$tmpvar_4 == "TechSpec"} then {set CONFIG_IU_MUL_TECHSPEC 1} else {set CONFIG_IU_MUL_TECHSPEC 0} - global CONFIG_IU_MUL_DW - if {$tmpvar_4 == "Designware"} then {set CONFIG_IU_MUL_DW 1} else {set CONFIG_IU_MUL_DW 0} - global CONFIG_IU_BP - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_IU_BP [expr $CONFIG_IU_BP&15]} else {set CONFIG_IU_BP [expr $CONFIG_IU_BP|16]} - global CONFIG_IU_SVT - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_IU_SVT [expr $CONFIG_IU_SVT&15]} else {set CONFIG_IU_SVT [expr $CONFIG_IU_SVT|16]} - global CONFIG_NOTAG - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_NOTAG [expr $CONFIG_NOTAG&15]} else {set CONFIG_NOTAG [expr $CONFIG_NOTAG|16]} - global CONFIG_IU_LDELAY - if {($CONFIG_LEON3 == 1)} then {validate_int CONFIG_IU_LDELAY "$CONFIG_IU_LDELAY" 1} - global CONFIG_IU_WATCHPOINTS - if {($CONFIG_LEON3 == 1)} then {validate_int CONFIG_IU_WATCHPOINTS "$CONFIG_IU_WATCHPOINTS" 0} - global CONFIG_PWD - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_PWD [expr $CONFIG_PWD&15]} else {set CONFIG_PWD [expr $CONFIG_PWD|16]} - global CONFIG_IU_RSTADDR - if {($CONFIG_LEON3 == 1)} then {validate_hex CONFIG_IU_RSTADDR "$CONFIG_IU_RSTADDR" 00000} -} - - -proc menu5 {w title} { - set oldFocus [focus] - catch {focus .menu3} - catch {destroy $w; unregister_active 5} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 5]] - message $w.m -width 400 -aspect 300 -text \ - "Floating-point unit" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Floating-point unit" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 5; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 5; menu6 .menu6 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 5" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 5; menu4 .menu4 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 5; menu4 .menu4 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 5 0 "Enable FPU " CONFIG_FPU_ENABLE - global tmpvar_5 - minimenu $w.config.f 5 1 "FPU core" tmpvar_5 CONFIG_FPU_GRFPU - menu $w.config.f.x1.x.menu -tearoffcommand "menutitle \"FPU core\"" - $w.config.f.x1.x.menu add radiobutton -label "GRFPU" -variable tmpvar_5 -value "GRFPU" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "GRFPU-LITE" -variable tmpvar_5 -value "GRFPU-LITE" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "Meiko" -variable tmpvar_5 -value "Meiko" -command "update_active" - menusplit $w $w.config.f.x1.x.menu 3 - global tmpvar_6 - minimenu $w.config.f 5 2 "GRFPU multiplier" tmpvar_6 CONFIG_FPU_GRFPU_INFMUL - menu $w.config.f.x2.x.menu -tearoffcommand "menutitle \"GRFPU multiplier\"" - $w.config.f.x2.x.menu add radiobutton -label "Inferred" -variable tmpvar_6 -value "Inferred" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "DW" -variable tmpvar_6 -value "DW" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "ModGen" -variable tmpvar_6 -value "ModGen" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "TechSpec" -variable tmpvar_6 -value "TechSpec" -command "update_active" - menusplit $w $w.config.f.x2.x.menu 4 - bool $w.config.f 5 3 "Shared GRFPU " CONFIG_FPU_GRFPU_SH - global tmpvar_7 - minimenu $w.config.f 5 4 "GRFPU-LITE controller" tmpvar_7 CONFIG_FPU_GRFPC0 - menu $w.config.f.x4.x.menu -tearoffcommand "menutitle \"GRFPU-LITE controller\"" - $w.config.f.x4.x.menu add radiobutton -label "Simple" -variable tmpvar_7 -value "Simple" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "Data-forwarding" -variable tmpvar_7 -value "Data-forwarding" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "Non-blocking" -variable tmpvar_7 -value "Non-blocking" -command "update_active" - menusplit $w $w.config.f.x4.x.menu 3 - bool $w.config.f 5 5 "Use VHDL netlist " CONFIG_FPU_NETLIST - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu3] == 0} then {menu3 .menu3 "Processor "} - set winx [expr [winfo x .menu3]+30]; set winy [expr [winfo y .menu3]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu5 {} { - global CONFIG_LEON3 - global CONFIG_FPU_ENABLE - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu5.config.f.x0 normal {n l y}} else {configure_entry .menu5.config.f.x0 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1)} then {configure_entry .menu5.config.f.x1 normal {x l}} else {configure_entry .menu5.config.f.x1 disabled {x l}} - global CONFIG_FPU_GRFPU - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1) && ($CONFIG_FPU_GRFPU == 1)} then {configure_entry .menu5.config.f.x2 normal {x l}} else {configure_entry .menu5.config.f.x2 disabled {x l}} - global CONFIG_HAS_SHARED_GRFPU - global CONFIG_FPU_GRFPU_SH - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1) && ($CONFIG_HAS_SHARED_GRFPU == 1 && $CONFIG_FPU_GRFPU == 1)} then { - configure_entry .menu5.config.f.x3 normal {n l y}} else {configure_entry .menu5.config.f.x3 disabled {y n l}} - global CONFIG_FPU_GRFPULITE - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1) && ($CONFIG_FPU_GRFPULITE == 1)} then {configure_entry .menu5.config.f.x4 normal {x l}} else {configure_entry .menu5.config.f.x4 disabled {x l}} - global CONFIG_FPU_NETLIST - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1)} then { - configure_entry .menu5.config.f.x5 normal {n l y}} else {configure_entry .menu5.config.f.x5 disabled {y n l}} -} - - -proc update_define_menu5 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_FPU_ENABLE - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_FPU_ENABLE [expr $CONFIG_FPU_ENABLE&15]} else {set CONFIG_FPU_ENABLE [expr $CONFIG_FPU_ENABLE|16]} - global tmpvar_5 - global CONFIG_FPU_GRFPU - if {$tmpvar_5 == "GRFPU"} then {set CONFIG_FPU_GRFPU 1} else {set CONFIG_FPU_GRFPU 0} - global CONFIG_FPU_GRFPULITE - if {$tmpvar_5 == "GRFPU-LITE"} then {set CONFIG_FPU_GRFPULITE 1} else {set CONFIG_FPU_GRFPULITE 0} - global CONFIG_FPU_MEIKO - if {$tmpvar_5 == "Meiko"} then {set CONFIG_FPU_MEIKO 1} else {set CONFIG_FPU_MEIKO 0} - global tmpvar_6 - global CONFIG_FPU_GRFPU_INFMUL - if {$tmpvar_6 == "Inferred"} then {set CONFIG_FPU_GRFPU_INFMUL 1} else {set CONFIG_FPU_GRFPU_INFMUL 0} - global CONFIG_FPU_GRFPU_DWMUL - if {$tmpvar_6 == "DW"} then {set CONFIG_FPU_GRFPU_DWMUL 1} else {set CONFIG_FPU_GRFPU_DWMUL 0} - global CONFIG_FPU_GRFPU_MODGEN - if {$tmpvar_6 == "ModGen"} then {set CONFIG_FPU_GRFPU_MODGEN 1} else {set CONFIG_FPU_GRFPU_MODGEN 0} - global CONFIG_FPU_GRFPU_TECHSPEC - if {$tmpvar_6 == "TechSpec"} then {set CONFIG_FPU_GRFPU_TECHSPEC 1} else {set CONFIG_FPU_GRFPU_TECHSPEC 0} - global CONFIG_HAS_SHARED_GRFPU - global CONFIG_FPU_GRFPU_SH - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1) && ($CONFIG_HAS_SHARED_GRFPU == 1 && $CONFIG_FPU_GRFPU == 1)} then { - set CONFIG_FPU_GRFPU_SH [expr $CONFIG_FPU_GRFPU_SH&15]} else {set CONFIG_FPU_GRFPU_SH [expr $CONFIG_FPU_GRFPU_SH|16]} - global tmpvar_7 - global CONFIG_FPU_GRFPC0 - if {$tmpvar_7 == "Simple"} then {set CONFIG_FPU_GRFPC0 1} else {set CONFIG_FPU_GRFPC0 0} - global CONFIG_FPU_GRFPC1 - if {$tmpvar_7 == "Data-forwarding"} then {set CONFIG_FPU_GRFPC1 1} else {set CONFIG_FPU_GRFPC1 0} - global CONFIG_FPU_GRFPC2 - if {$tmpvar_7 == "Non-blocking"} then {set CONFIG_FPU_GRFPC2 1} else {set CONFIG_FPU_GRFPC2 0} - global CONFIG_FPU_NETLIST - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1)} then { - set CONFIG_FPU_NETLIST [expr $CONFIG_FPU_NETLIST&15]} else {set CONFIG_FPU_NETLIST [expr $CONFIG_FPU_NETLIST|16]} -} - - -proc menu6 {w title} { - set oldFocus [focus] - catch {focus .menu3} - catch {destroy $w; unregister_active 6} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 6]] - message $w.m -width 400 -aspect 300 -text \ - "Cache system" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Cache system" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 6; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 6; menu7 .menu7 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 6" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 6; menu5 .menu5 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 6; menu5 .menu5 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 6 0 "Enable instruction cache " CONFIG_ICACHE_ENABLE - global tmpvar_8 - minimenu $w.config.f 6 1 "Associativity (sets) " tmpvar_8 CONFIG_ICACHE_ASSO1 - menu $w.config.f.x1.x.menu -tearoffcommand "menutitle \"Associativity (sets) \"" - $w.config.f.x1.x.menu add radiobutton -label "1" -variable tmpvar_8 -value "1" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "2" -variable tmpvar_8 -value "2" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "3" -variable tmpvar_8 -value "3" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "4" -variable tmpvar_8 -value "4" -command "update_active" - menusplit $w $w.config.f.x1.x.menu 4 - global tmpvar_9 - minimenu $w.config.f 6 2 "Way size (kbytes/way)" tmpvar_9 CONFIG_ICACHE_SZ1 - menu $w.config.f.x2.x.menu -tearoffcommand "menutitle \"Way size (kbytes/way)\"" - $w.config.f.x2.x.menu add radiobutton -label "1" -variable tmpvar_9 -value "1" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "2" -variable tmpvar_9 -value "2" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "4" -variable tmpvar_9 -value "4" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "8" -variable tmpvar_9 -value "8" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "16" -variable tmpvar_9 -value "16" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "32" -variable tmpvar_9 -value "32" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "64" -variable tmpvar_9 -value "64" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "128" -variable tmpvar_9 -value "128" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "256" -variable tmpvar_9 -value "256" -command "update_active" - menusplit $w $w.config.f.x2.x.menu 9 - global tmpvar_10 - minimenu $w.config.f 6 3 "Line size (bytes/line)" tmpvar_10 CONFIG_ICACHE_LZ16 - menu $w.config.f.x3.x.menu -tearoffcommand "menutitle \"Line size (bytes/line)\"" - $w.config.f.x3.x.menu add radiobutton -label "16" -variable tmpvar_10 -value "16" -command "update_active" - $w.config.f.x3.x.menu add radiobutton -label "32" -variable tmpvar_10 -value "32" -command "update_active" - menusplit $w $w.config.f.x3.x.menu 2 - global tmpvar_11 - minimenu $w.config.f 6 4 "Replacement alorithm" tmpvar_11 CONFIG_ICACHE_ALGORND - menu $w.config.f.x4.x.menu -tearoffcommand "menutitle \"Replacement alorithm\"" - $w.config.f.x4.x.menu add radiobutton -label "Random" -variable tmpvar_11 -value "Random" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "Direct" -variable tmpvar_11 -value "Direct" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "LRR" -variable tmpvar_11 -value "LRR" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "LRU" -variable tmpvar_11 -value "LRU" -command "update_active" - menusplit $w $w.config.f.x4.x.menu 4 - bool $w.config.f 6 5 "Cache locking " CONFIG_ICACHE_LOCK - bool $w.config.f 6 6 "Enable local instruction RAM " CONFIG_ICACHE_LRAM - global tmpvar_12 - minimenu $w.config.f 6 7 "Local data RAM size (kbytes)" tmpvar_12 CONFIG_ICACHE_LRAM_SZ1 - menu $w.config.f.x7.x.menu -tearoffcommand "menutitle \"Local data RAM size (kbytes)\"" - $w.config.f.x7.x.menu add radiobutton -label "1" -variable tmpvar_12 -value "1" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "2" -variable tmpvar_12 -value "2" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "4" -variable tmpvar_12 -value "4" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "8" -variable tmpvar_12 -value "8" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "16" -variable tmpvar_12 -value "16" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "32" -variable tmpvar_12 -value "32" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "64" -variable tmpvar_12 -value "64" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "128" -variable tmpvar_12 -value "128" -command "update_active" - $w.config.f.x7.x.menu add radiobutton -label "256" -variable tmpvar_12 -value "256" -command "update_active" - menusplit $w $w.config.f.x7.x.menu 9 - hex $w.config.f 6 8 " Local instruction RAM start address (8 MSB) " CONFIG_ICACHE_LRSTART - bool $w.config.f 6 9 "Enable data cache " CONFIG_DCACHE_ENABLE - global tmpvar_13 - minimenu $w.config.f 6 10 "Associativity (sets)" tmpvar_13 CONFIG_DCACHE_ASSO1 - menu $w.config.f.x10.x.menu -tearoffcommand "menutitle \"Associativity (sets)\"" - $w.config.f.x10.x.menu add radiobutton -label "1" -variable tmpvar_13 -value "1" -command "update_active" - $w.config.f.x10.x.menu add radiobutton -label "2" -variable tmpvar_13 -value "2" -command "update_active" - $w.config.f.x10.x.menu add radiobutton -label "3" -variable tmpvar_13 -value "3" -command "update_active" - $w.config.f.x10.x.menu add radiobutton -label "4" -variable tmpvar_13 -value "4" -command "update_active" - menusplit $w $w.config.f.x10.x.menu 4 - global tmpvar_14 - minimenu $w.config.f 6 11 "Set size (kbytes/set)" tmpvar_14 CONFIG_DCACHE_SZ1 - menu $w.config.f.x11.x.menu -tearoffcommand "menutitle \"Set size (kbytes/set)\"" - $w.config.f.x11.x.menu add radiobutton -label "1" -variable tmpvar_14 -value "1" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "2" -variable tmpvar_14 -value "2" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "4" -variable tmpvar_14 -value "4" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "8" -variable tmpvar_14 -value "8" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "16" -variable tmpvar_14 -value "16" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "32" -variable tmpvar_14 -value "32" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "64" -variable tmpvar_14 -value "64" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "128" -variable tmpvar_14 -value "128" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "256" -variable tmpvar_14 -value "256" -command "update_active" - menusplit $w $w.config.f.x11.x.menu 9 - global tmpvar_15 - minimenu $w.config.f 6 12 "Line size (bytes/line)" tmpvar_15 CONFIG_DCACHE_LZ16 - menu $w.config.f.x12.x.menu -tearoffcommand "menutitle \"Line size (bytes/line)\"" - $w.config.f.x12.x.menu add radiobutton -label "16" -variable tmpvar_15 -value "16" -command "update_active" - $w.config.f.x12.x.menu add radiobutton -label "32" -variable tmpvar_15 -value "32" -command "update_active" - menusplit $w $w.config.f.x12.x.menu 2 - global tmpvar_16 - minimenu $w.config.f 6 13 "Replacement alorithm" tmpvar_16 CONFIG_DCACHE_ALGORND - menu $w.config.f.x13.x.menu -tearoffcommand "menutitle \"Replacement alorithm\"" - $w.config.f.x13.x.menu add radiobutton -label "Random" -variable tmpvar_16 -value "Random" -command "update_active" - $w.config.f.x13.x.menu add radiobutton -label "Direct" -variable tmpvar_16 -value "Direct" -command "update_active" - $w.config.f.x13.x.menu add radiobutton -label "LRR" -variable tmpvar_16 -value "LRR" -command "update_active" - $w.config.f.x13.x.menu add radiobutton -label "LRU" -variable tmpvar_16 -value "LRU" -command "update_active" - menusplit $w $w.config.f.x13.x.menu 4 - bool $w.config.f 6 14 "Cache locking " CONFIG_DCACHE_LOCK - bool $w.config.f 6 15 "AHB snooping " CONFIG_DCACHE_SNOOP - bool $w.config.f 6 16 "Fast snooping " CONFIG_DCACHE_SNOOP_FAST - bool $w.config.f 6 17 "Separate snoop tags " CONFIG_DCACHE_SNOOP_SEPTAG - hex $w.config.f 6 18 "Fixed cacheability map " CONFIG_CACHE_FIXED - bool $w.config.f 6 19 "Enable local data RAM " CONFIG_DCACHE_LRAM - global tmpvar_17 - minimenu $w.config.f 6 20 "Local data RAM size (kbytes)" tmpvar_17 CONFIG_DCACHE_LRAM_SZ1 - menu $w.config.f.x20.x.menu -tearoffcommand "menutitle \"Local data RAM size (kbytes)\"" - $w.config.f.x20.x.menu add radiobutton -label "1" -variable tmpvar_17 -value "1" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "2" -variable tmpvar_17 -value "2" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "4" -variable tmpvar_17 -value "4" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "8" -variable tmpvar_17 -value "8" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "16" -variable tmpvar_17 -value "16" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "32" -variable tmpvar_17 -value "32" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "64" -variable tmpvar_17 -value "64" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "128" -variable tmpvar_17 -value "128" -command "update_active" - $w.config.f.x20.x.menu add radiobutton -label "256" -variable tmpvar_17 -value "256" -command "update_active" - menusplit $w $w.config.f.x20.x.menu 9 - hex $w.config.f 6 21 " Local data RAM start address (8 MSB) " CONFIG_DCACHE_LRSTART - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu3] == 0} then {menu3 .menu3 "Processor "} - set winx [expr [winfo x .menu3]+30]; set winy [expr [winfo y .menu3]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu6 {} { - global CONFIG_LEON3 - global CONFIG_ICACHE_ENABLE - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu6.config.f.x0 normal {n l y}} else {configure_entry .menu6.config.f.x0 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1)} then {configure_entry .menu6.config.f.x1 normal {x l}} else {configure_entry .menu6.config.f.x1 disabled {x l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1)} then {configure_entry .menu6.config.f.x2 normal {x l}} else {configure_entry .menu6.config.f.x2 disabled {x l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1)} then {configure_entry .menu6.config.f.x3 normal {x l}} else {configure_entry .menu6.config.f.x3 disabled {x l}} - global CONFIG_ICACHE_ASSO1 - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1) && ($CONFIG_ICACHE_ASSO1 != 1)} then {configure_entry .menu6.config.f.x4 normal {x l}} else {configure_entry .menu6.config.f.x4 disabled {x l}} - global CONFIG_ICACHE_LOCK - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1) && ($CONFIG_ICACHE_ASSO1 != 1)} then { - configure_entry .menu6.config.f.x5 normal {n l y}} else {configure_entry .menu6.config.f.x5 disabled {y n l}} - global CONFIG_MMU_ENABLE - global CONFIG_ICACHE_LRAM - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE != 1)} then { - configure_entry .menu6.config.f.x6 normal {n l y}} else {configure_entry .menu6.config.f.x6 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_LRAM == 1)} then {configure_entry .menu6.config.f.x7 normal {x l}} else {configure_entry .menu6.config.f.x7 disabled {x l}} - global CONFIG_ICACHE_LRSTART - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_LRAM == 1)} then {.menu6.config.f.x8.x configure -state normal -foreground [ cget .ref -foreground ]; .menu6.config.f.x8.l configure -state normal; } else {.menu6.config.f.x8.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu6.config.f.x8.l configure -state disabled} - global CONFIG_DCACHE_ENABLE - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu6.config.f.x9 normal {n l y}} else {configure_entry .menu6.config.f.x9 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then {configure_entry .menu6.config.f.x10 normal {x l}} else {configure_entry .menu6.config.f.x10 disabled {x l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then {configure_entry .menu6.config.f.x11 normal {x l}} else {configure_entry .menu6.config.f.x11 disabled {x l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then {configure_entry .menu6.config.f.x12 normal {x l}} else {configure_entry .menu6.config.f.x12 disabled {x l}} - global CONFIG_DCACHE_ASSO1 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_ASSO1 != 1)} then {configure_entry .menu6.config.f.x13 normal {x l}} else {configure_entry .menu6.config.f.x13 disabled {x l}} - global CONFIG_DCACHE_LOCK - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_ASSO1 != 1)} then { - configure_entry .menu6.config.f.x14 normal {n l y}} else {configure_entry .menu6.config.f.x14 disabled {y n l}} - global CONFIG_DCACHE_SNOOP - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then { - configure_entry .menu6.config.f.x15 normal {n l y}} else {configure_entry .menu6.config.f.x15 disabled {y n l}} - global CONFIG_DCACHE_SNOOP_FAST - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_SNOOP == 1)} then { - configure_entry .menu6.config.f.x16 normal {n l y}} else {configure_entry .menu6.config.f.x16 disabled {y n l}} - global CONFIG_DCACHE_SNOOP_SEPTAG - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_SNOOP == 1)} then { - configure_entry .menu6.config.f.x17 normal {n l y}} else {configure_entry .menu6.config.f.x17 disabled {y n l}} - global CONFIG_CACHE_FIXED - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1 || $CONFIG_DCACHE_ENABLE == 1)} then {.menu6.config.f.x18.x configure -state normal -foreground [ cget .ref -foreground ]; .menu6.config.f.x18.l configure -state normal; } else {.menu6.config.f.x18.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu6.config.f.x18.l configure -state disabled} - global CONFIG_DCACHE_LRAM - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE != 1)} then { - configure_entry .menu6.config.f.x19 normal {n l y}} else {configure_entry .menu6.config.f.x19 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_LRAM == 1)} then {configure_entry .menu6.config.f.x20 normal {x l}} else {configure_entry .menu6.config.f.x20 disabled {x l}} - global CONFIG_DCACHE_LRSTART - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_LRAM == 1)} then {.menu6.config.f.x21.x configure -state normal -foreground [ cget .ref -foreground ]; .menu6.config.f.x21.l configure -state normal; } else {.menu6.config.f.x21.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu6.config.f.x21.l configure -state disabled} -} - - -proc update_define_menu6 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_ICACHE_ENABLE - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_ICACHE_ENABLE [expr $CONFIG_ICACHE_ENABLE&15]} else {set CONFIG_ICACHE_ENABLE [expr $CONFIG_ICACHE_ENABLE|16]} - global tmpvar_8 - global CONFIG_ICACHE_ASSO1 - if {$tmpvar_8 == "1"} then {set CONFIG_ICACHE_ASSO1 1} else {set CONFIG_ICACHE_ASSO1 0} - global CONFIG_ICACHE_ASSO2 - if {$tmpvar_8 == "2"} then {set CONFIG_ICACHE_ASSO2 1} else {set CONFIG_ICACHE_ASSO2 0} - global CONFIG_ICACHE_ASSO3 - if {$tmpvar_8 == "3"} then {set CONFIG_ICACHE_ASSO3 1} else {set CONFIG_ICACHE_ASSO3 0} - global CONFIG_ICACHE_ASSO4 - if {$tmpvar_8 == "4"} then {set CONFIG_ICACHE_ASSO4 1} else {set CONFIG_ICACHE_ASSO4 0} - global tmpvar_9 - global CONFIG_ICACHE_SZ1 - if {$tmpvar_9 == "1"} then {set CONFIG_ICACHE_SZ1 1} else {set CONFIG_ICACHE_SZ1 0} - global CONFIG_ICACHE_SZ2 - if {$tmpvar_9 == "2"} then {set CONFIG_ICACHE_SZ2 1} else {set CONFIG_ICACHE_SZ2 0} - global CONFIG_ICACHE_SZ4 - if {$tmpvar_9 == "4"} then {set CONFIG_ICACHE_SZ4 1} else {set CONFIG_ICACHE_SZ4 0} - global CONFIG_ICACHE_SZ8 - if {$tmpvar_9 == "8"} then {set CONFIG_ICACHE_SZ8 1} else {set CONFIG_ICACHE_SZ8 0} - global CONFIG_ICACHE_SZ16 - if {$tmpvar_9 == "16"} then {set CONFIG_ICACHE_SZ16 1} else {set CONFIG_ICACHE_SZ16 0} - global CONFIG_ICACHE_SZ32 - if {$tmpvar_9 == "32"} then {set CONFIG_ICACHE_SZ32 1} else {set CONFIG_ICACHE_SZ32 0} - global CONFIG_ICACHE_SZ64 - if {$tmpvar_9 == "64"} then {set CONFIG_ICACHE_SZ64 1} else {set CONFIG_ICACHE_SZ64 0} - global CONFIG_ICACHE_SZ128 - if {$tmpvar_9 == "128"} then {set CONFIG_ICACHE_SZ128 1} else {set CONFIG_ICACHE_SZ128 0} - global CONFIG_ICACHE_SZ256 - if {$tmpvar_9 == "256"} then {set CONFIG_ICACHE_SZ256 1} else {set CONFIG_ICACHE_SZ256 0} - global tmpvar_10 - global CONFIG_ICACHE_LZ16 - if {$tmpvar_10 == "16"} then {set CONFIG_ICACHE_LZ16 1} else {set CONFIG_ICACHE_LZ16 0} - global CONFIG_ICACHE_LZ32 - if {$tmpvar_10 == "32"} then {set CONFIG_ICACHE_LZ32 1} else {set CONFIG_ICACHE_LZ32 0} - global tmpvar_11 - global CONFIG_ICACHE_ALGORND - if {$tmpvar_11 == "Random"} then {set CONFIG_ICACHE_ALGORND 1} else {set CONFIG_ICACHE_ALGORND 0} - global CONFIG_ICACHE_ALGODIR - if {$tmpvar_11 == "Direct"} then {set CONFIG_ICACHE_ALGODIR 1} else {set CONFIG_ICACHE_ALGODIR 0} - global CONFIG_ICACHE_ALGOLRR - if {$tmpvar_11 == "LRR"} then {set CONFIG_ICACHE_ALGOLRR 1} else {set CONFIG_ICACHE_ALGOLRR 0} - global CONFIG_ICACHE_ALGOLRU - if {$tmpvar_11 == "LRU"} then {set CONFIG_ICACHE_ALGOLRU 1} else {set CONFIG_ICACHE_ALGOLRU 0} - global CONFIG_ICACHE_LOCK - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1) && ($CONFIG_ICACHE_ASSO1 != 1)} then { - set CONFIG_ICACHE_LOCK [expr $CONFIG_ICACHE_LOCK&15]} else {set CONFIG_ICACHE_LOCK [expr $CONFIG_ICACHE_LOCK|16]} - global CONFIG_MMU_ENABLE - global CONFIG_ICACHE_LRAM - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE != 1)} then { - set CONFIG_ICACHE_LRAM [expr $CONFIG_ICACHE_LRAM&15]} else {set CONFIG_ICACHE_LRAM [expr $CONFIG_ICACHE_LRAM|16]} - global tmpvar_12 - global CONFIG_ICACHE_LRAM_SZ1 - if {$tmpvar_12 == "1"} then {set CONFIG_ICACHE_LRAM_SZ1 1} else {set CONFIG_ICACHE_LRAM_SZ1 0} - global CONFIG_ICACHE_LRAM_SZ2 - if {$tmpvar_12 == "2"} then {set CONFIG_ICACHE_LRAM_SZ2 1} else {set CONFIG_ICACHE_LRAM_SZ2 0} - global CONFIG_ICACHE_LRAM_SZ4 - if {$tmpvar_12 == "4"} then {set CONFIG_ICACHE_LRAM_SZ4 1} else {set CONFIG_ICACHE_LRAM_SZ4 0} - global CONFIG_ICACHE_LRAM_SZ8 - if {$tmpvar_12 == "8"} then {set CONFIG_ICACHE_LRAM_SZ8 1} else {set CONFIG_ICACHE_LRAM_SZ8 0} - global CONFIG_ICACHE_LRAM_SZ16 - if {$tmpvar_12 == "16"} then {set CONFIG_ICACHE_LRAM_SZ16 1} else {set CONFIG_ICACHE_LRAM_SZ16 0} - global CONFIG_ICACHE_LRAM_SZ32 - if {$tmpvar_12 == "32"} then {set CONFIG_ICACHE_LRAM_SZ32 1} else {set CONFIG_ICACHE_LRAM_SZ32 0} - global CONFIG_ICACHE_LRAM_SZ64 - if {$tmpvar_12 == "64"} then {set CONFIG_ICACHE_LRAM_SZ64 1} else {set CONFIG_ICACHE_LRAM_SZ64 0} - global CONFIG_ICACHE_LRAM_SZ128 - if {$tmpvar_12 == "128"} then {set CONFIG_ICACHE_LRAM_SZ128 1} else {set CONFIG_ICACHE_LRAM_SZ128 0} - global CONFIG_ICACHE_LRAM_SZ256 - if {$tmpvar_12 == "256"} then {set CONFIG_ICACHE_LRAM_SZ256 1} else {set CONFIG_ICACHE_LRAM_SZ256 0} - global CONFIG_ICACHE_LRSTART - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_LRAM == 1)} then {validate_hex CONFIG_ICACHE_LRSTART "$CONFIG_ICACHE_LRSTART" 8e} - global CONFIG_DCACHE_ENABLE - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_DCACHE_ENABLE [expr $CONFIG_DCACHE_ENABLE&15]} else {set CONFIG_DCACHE_ENABLE [expr $CONFIG_DCACHE_ENABLE|16]} - global tmpvar_13 - global CONFIG_DCACHE_ASSO1 - if {$tmpvar_13 == "1"} then {set CONFIG_DCACHE_ASSO1 1} else {set CONFIG_DCACHE_ASSO1 0} - global CONFIG_DCACHE_ASSO2 - if {$tmpvar_13 == "2"} then {set CONFIG_DCACHE_ASSO2 1} else {set CONFIG_DCACHE_ASSO2 0} - global CONFIG_DCACHE_ASSO3 - if {$tmpvar_13 == "3"} then {set CONFIG_DCACHE_ASSO3 1} else {set CONFIG_DCACHE_ASSO3 0} - global CONFIG_DCACHE_ASSO4 - if {$tmpvar_13 == "4"} then {set CONFIG_DCACHE_ASSO4 1} else {set CONFIG_DCACHE_ASSO4 0} - global tmpvar_14 - global CONFIG_DCACHE_SZ1 - if {$tmpvar_14 == "1"} then {set CONFIG_DCACHE_SZ1 1} else {set CONFIG_DCACHE_SZ1 0} - global CONFIG_DCACHE_SZ2 - if {$tmpvar_14 == "2"} then {set CONFIG_DCACHE_SZ2 1} else {set CONFIG_DCACHE_SZ2 0} - global CONFIG_DCACHE_SZ4 - if {$tmpvar_14 == "4"} then {set CONFIG_DCACHE_SZ4 1} else {set CONFIG_DCACHE_SZ4 0} - global CONFIG_DCACHE_SZ8 - if {$tmpvar_14 == "8"} then {set CONFIG_DCACHE_SZ8 1} else {set CONFIG_DCACHE_SZ8 0} - global CONFIG_DCACHE_SZ16 - if {$tmpvar_14 == "16"} then {set CONFIG_DCACHE_SZ16 1} else {set CONFIG_DCACHE_SZ16 0} - global CONFIG_DCACHE_SZ32 - if {$tmpvar_14 == "32"} then {set CONFIG_DCACHE_SZ32 1} else {set CONFIG_DCACHE_SZ32 0} - global CONFIG_DCACHE_SZ64 - if {$tmpvar_14 == "64"} then {set CONFIG_DCACHE_SZ64 1} else {set CONFIG_DCACHE_SZ64 0} - global CONFIG_DCACHE_SZ128 - if {$tmpvar_14 == "128"} then {set CONFIG_DCACHE_SZ128 1} else {set CONFIG_DCACHE_SZ128 0} - global CONFIG_DCACHE_SZ256 - if {$tmpvar_14 == "256"} then {set CONFIG_DCACHE_SZ256 1} else {set CONFIG_DCACHE_SZ256 0} - global tmpvar_15 - global CONFIG_DCACHE_LZ16 - if {$tmpvar_15 == "16"} then {set CONFIG_DCACHE_LZ16 1} else {set CONFIG_DCACHE_LZ16 0} - global CONFIG_DCACHE_LZ32 - if {$tmpvar_15 == "32"} then {set CONFIG_DCACHE_LZ32 1} else {set CONFIG_DCACHE_LZ32 0} - global tmpvar_16 - global CONFIG_DCACHE_ALGORND - if {$tmpvar_16 == "Random"} then {set CONFIG_DCACHE_ALGORND 1} else {set CONFIG_DCACHE_ALGORND 0} - global CONFIG_DCACHE_ALGODIR - if {$tmpvar_16 == "Direct"} then {set CONFIG_DCACHE_ALGODIR 1} else {set CONFIG_DCACHE_ALGODIR 0} - global CONFIG_DCACHE_ALGOLRR - if {$tmpvar_16 == "LRR"} then {set CONFIG_DCACHE_ALGOLRR 1} else {set CONFIG_DCACHE_ALGOLRR 0} - global CONFIG_DCACHE_ALGOLRU - if {$tmpvar_16 == "LRU"} then {set CONFIG_DCACHE_ALGOLRU 1} else {set CONFIG_DCACHE_ALGOLRU 0} - global CONFIG_DCACHE_LOCK - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_ASSO1 != 1)} then { - set CONFIG_DCACHE_LOCK [expr $CONFIG_DCACHE_LOCK&15]} else {set CONFIG_DCACHE_LOCK [expr $CONFIG_DCACHE_LOCK|16]} - global CONFIG_DCACHE_SNOOP - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then { - set CONFIG_DCACHE_SNOOP [expr $CONFIG_DCACHE_SNOOP&15]} else {set CONFIG_DCACHE_SNOOP [expr $CONFIG_DCACHE_SNOOP|16]} - global CONFIG_DCACHE_SNOOP_FAST - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_SNOOP == 1)} then { - set CONFIG_DCACHE_SNOOP_FAST [expr $CONFIG_DCACHE_SNOOP_FAST&15]} else {set CONFIG_DCACHE_SNOOP_FAST [expr $CONFIG_DCACHE_SNOOP_FAST|16]} - global CONFIG_DCACHE_SNOOP_SEPTAG - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_SNOOP == 1)} then { - set CONFIG_DCACHE_SNOOP_SEPTAG [expr $CONFIG_DCACHE_SNOOP_SEPTAG&15]} else {set CONFIG_DCACHE_SNOOP_SEPTAG [expr $CONFIG_DCACHE_SNOOP_SEPTAG|16]} - global CONFIG_CACHE_FIXED - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1 || $CONFIG_DCACHE_ENABLE == 1)} then {validate_hex CONFIG_CACHE_FIXED "$CONFIG_CACHE_FIXED" 0} - global CONFIG_DCACHE_LRAM - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE != 1)} then { - set CONFIG_DCACHE_LRAM [expr $CONFIG_DCACHE_LRAM&15]} else {set CONFIG_DCACHE_LRAM [expr $CONFIG_DCACHE_LRAM|16]} - global tmpvar_17 - global CONFIG_DCACHE_LRAM_SZ1 - if {$tmpvar_17 == "1"} then {set CONFIG_DCACHE_LRAM_SZ1 1} else {set CONFIG_DCACHE_LRAM_SZ1 0} - global CONFIG_DCACHE_LRAM_SZ2 - if {$tmpvar_17 == "2"} then {set CONFIG_DCACHE_LRAM_SZ2 1} else {set CONFIG_DCACHE_LRAM_SZ2 0} - global CONFIG_DCACHE_LRAM_SZ4 - if {$tmpvar_17 == "4"} then {set CONFIG_DCACHE_LRAM_SZ4 1} else {set CONFIG_DCACHE_LRAM_SZ4 0} - global CONFIG_DCACHE_LRAM_SZ8 - if {$tmpvar_17 == "8"} then {set CONFIG_DCACHE_LRAM_SZ8 1} else {set CONFIG_DCACHE_LRAM_SZ8 0} - global CONFIG_DCACHE_LRAM_SZ16 - if {$tmpvar_17 == "16"} then {set CONFIG_DCACHE_LRAM_SZ16 1} else {set CONFIG_DCACHE_LRAM_SZ16 0} - global CONFIG_DCACHE_LRAM_SZ32 - if {$tmpvar_17 == "32"} then {set CONFIG_DCACHE_LRAM_SZ32 1} else {set CONFIG_DCACHE_LRAM_SZ32 0} - global CONFIG_DCACHE_LRAM_SZ64 - if {$tmpvar_17 == "64"} then {set CONFIG_DCACHE_LRAM_SZ64 1} else {set CONFIG_DCACHE_LRAM_SZ64 0} - global CONFIG_DCACHE_LRAM_SZ128 - if {$tmpvar_17 == "128"} then {set CONFIG_DCACHE_LRAM_SZ128 1} else {set CONFIG_DCACHE_LRAM_SZ128 0} - global CONFIG_DCACHE_LRAM_SZ256 - if {$tmpvar_17 == "256"} then {set CONFIG_DCACHE_LRAM_SZ256 1} else {set CONFIG_DCACHE_LRAM_SZ256 0} - global CONFIG_DCACHE_LRSTART - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_LRAM == 1)} then {validate_hex CONFIG_DCACHE_LRSTART "$CONFIG_DCACHE_LRSTART" 8f} -} - - -proc menu7 {w title} { - set oldFocus [focus] - catch {focus .menu3} - catch {destroy $w; unregister_active 7} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 7]] - message $w.m -width 400 -aspect 300 -text \ - "MMU" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "MMU" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 7; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 7; menu8 .menu8 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 7" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 7; menu6 .menu6 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 7; menu6 .menu6 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 7 0 "Enable MMU " CONFIG_MMU_ENABLE - global tmpvar_18 - minimenu $w.config.f 7 1 "MMU type " tmpvar_18 CONFIG_MMU_COMBINED - menu $w.config.f.x1.x.menu -tearoffcommand "menutitle \"MMU type \"" - $w.config.f.x1.x.menu add radiobutton -label "combined" -variable tmpvar_18 -value "combined" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "split" -variable tmpvar_18 -value "split" -command "update_active" - menusplit $w $w.config.f.x1.x.menu 2 - global tmpvar_19 - minimenu $w.config.f 7 2 "TLB replacement sheme " tmpvar_19 CONFIG_MMU_REPARRAY - menu $w.config.f.x2.x.menu -tearoffcommand "menutitle \"TLB replacement sheme \"" - $w.config.f.x2.x.menu add radiobutton -label "LRU" -variable tmpvar_19 -value "LRU" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "Increment" -variable tmpvar_19 -value "Increment" -command "update_active" - menusplit $w $w.config.f.x2.x.menu 2 - global tmpvar_20 - minimenu $w.config.f 7 3 "Instruction (or combined) TLB entries" tmpvar_20 CONFIG_MMU_I2 - menu $w.config.f.x3.x.menu -tearoffcommand "menutitle \"Instruction (or combined) TLB entries\"" - $w.config.f.x3.x.menu add radiobutton -label "2" -variable tmpvar_20 -value "2" -command "update_active" - $w.config.f.x3.x.menu add radiobutton -label "4" -variable tmpvar_20 -value "4" -command "update_active" - $w.config.f.x3.x.menu add radiobutton -label "8" -variable tmpvar_20 -value "8" -command "update_active" - $w.config.f.x3.x.menu add radiobutton -label "16" -variable tmpvar_20 -value "16" -command "update_active" - $w.config.f.x3.x.menu add radiobutton -label "32" -variable tmpvar_20 -value "32" -command "update_active" - menusplit $w $w.config.f.x3.x.menu 5 - global tmpvar_21 - minimenu $w.config.f 7 4 "Data TLB entries" tmpvar_21 CONFIG_MMU_D2 - menu $w.config.f.x4.x.menu -tearoffcommand "menutitle \"Data TLB entries\"" - $w.config.f.x4.x.menu add radiobutton -label "2" -variable tmpvar_21 -value "2" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "4" -variable tmpvar_21 -value "4" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "8" -variable tmpvar_21 -value "8" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "16" -variable tmpvar_21 -value "16" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "32" -variable tmpvar_21 -value "32" -command "update_active" - menusplit $w $w.config.f.x4.x.menu 5 - bool $w.config.f 7 5 "Fast writebuffer " CONFIG_MMU_FASTWB - global tmpvar_22 - minimenu $w.config.f 7 6 "MMU page size" tmpvar_22 CONFIG_MMU_PAGE_4K - menu $w.config.f.x6.x.menu -tearoffcommand "menutitle \"MMU page size\"" - $w.config.f.x6.x.menu add radiobutton -label "4K" -variable tmpvar_22 -value "4K" -command "update_active" - $w.config.f.x6.x.menu add radiobutton -label "8K" -variable tmpvar_22 -value "8K" -command "update_active" - $w.config.f.x6.x.menu add radiobutton -label "16K" -variable tmpvar_22 -value "16K" -command "update_active" - $w.config.f.x6.x.menu add radiobutton -label "32K" -variable tmpvar_22 -value "32K" -command "update_active" - $w.config.f.x6.x.menu add radiobutton -label "Programmable" -variable tmpvar_22 -value "Programmable" -command "update_active" - menusplit $w $w.config.f.x6.x.menu 5 - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu3] == 0} then {menu3 .menu3 "Processor "} - set winx [expr [winfo x .menu3]+30]; set winy [expr [winfo y .menu3]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu7 {} { - global CONFIG_LEON3 - global CONFIG_MMU_ENABLE - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu7.config.f.x0 normal {n l y}} else {configure_entry .menu7.config.f.x0 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then {configure_entry .menu7.config.f.x1 normal {x l}} else {configure_entry .menu7.config.f.x1 disabled {x l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then {configure_entry .menu7.config.f.x2 normal {x l}} else {configure_entry .menu7.config.f.x2 disabled {x l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then {configure_entry .menu7.config.f.x3 normal {x l}} else {configure_entry .menu7.config.f.x3 disabled {x l}} - global CONFIG_MMU_SPLIT - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1) && ($CONFIG_MMU_SPLIT == 1)} then {configure_entry .menu7.config.f.x4 normal {x l}} else {configure_entry .menu7.config.f.x4 disabled {x l}} - global CONFIG_MMU_FASTWB - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1) && ($CONFIG_MMU_SPLIT == 1)} then { - configure_entry .menu7.config.f.x5 normal {n l y}} else {configure_entry .menu7.config.f.x5 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then {configure_entry .menu7.config.f.x6 normal {x l}} else {configure_entry .menu7.config.f.x6 disabled {x l}} -} - - -proc update_define_menu7 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_MMU_ENABLE - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_MMU_ENABLE [expr $CONFIG_MMU_ENABLE&15]} else {set CONFIG_MMU_ENABLE [expr $CONFIG_MMU_ENABLE|16]} - global tmpvar_18 - global CONFIG_MMU_COMBINED - if {$tmpvar_18 == "combined"} then {set CONFIG_MMU_COMBINED 1} else {set CONFIG_MMU_COMBINED 0} - global CONFIG_MMU_SPLIT - if {$tmpvar_18 == "split"} then {set CONFIG_MMU_SPLIT 1} else {set CONFIG_MMU_SPLIT 0} - global tmpvar_19 - global CONFIG_MMU_REPARRAY - if {$tmpvar_19 == "LRU"} then {set CONFIG_MMU_REPARRAY 1} else {set CONFIG_MMU_REPARRAY 0} - global CONFIG_MMU_REPINCREMENT - if {$tmpvar_19 == "Increment"} then {set CONFIG_MMU_REPINCREMENT 1} else {set CONFIG_MMU_REPINCREMENT 0} - global tmpvar_20 - global CONFIG_MMU_I2 - if {$tmpvar_20 == "2"} then {set CONFIG_MMU_I2 1} else {set CONFIG_MMU_I2 0} - global CONFIG_MMU_I4 - if {$tmpvar_20 == "4"} then {set CONFIG_MMU_I4 1} else {set CONFIG_MMU_I4 0} - global CONFIG_MMU_I8 - if {$tmpvar_20 == "8"} then {set CONFIG_MMU_I8 1} else {set CONFIG_MMU_I8 0} - global CONFIG_MMU_I16 - if {$tmpvar_20 == "16"} then {set CONFIG_MMU_I16 1} else {set CONFIG_MMU_I16 0} - global CONFIG_MMU_I32 - if {$tmpvar_20 == "32"} then {set CONFIG_MMU_I32 1} else {set CONFIG_MMU_I32 0} - global tmpvar_21 - global CONFIG_MMU_D2 - if {$tmpvar_21 == "2"} then {set CONFIG_MMU_D2 1} else {set CONFIG_MMU_D2 0} - global CONFIG_MMU_D4 - if {$tmpvar_21 == "4"} then {set CONFIG_MMU_D4 1} else {set CONFIG_MMU_D4 0} - global CONFIG_MMU_D8 - if {$tmpvar_21 == "8"} then {set CONFIG_MMU_D8 1} else {set CONFIG_MMU_D8 0} - global CONFIG_MMU_D16 - if {$tmpvar_21 == "16"} then {set CONFIG_MMU_D16 1} else {set CONFIG_MMU_D16 0} - global CONFIG_MMU_D32 - if {$tmpvar_21 == "32"} then {set CONFIG_MMU_D32 1} else {set CONFIG_MMU_D32 0} - global CONFIG_MMU_FASTWB - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1) && ($CONFIG_MMU_SPLIT == 1)} then { - set CONFIG_MMU_FASTWB [expr $CONFIG_MMU_FASTWB&15]} else {set CONFIG_MMU_FASTWB [expr $CONFIG_MMU_FASTWB|16]} - global tmpvar_22 - global CONFIG_MMU_PAGE_4K - if {$tmpvar_22 == "4K"} then {set CONFIG_MMU_PAGE_4K 1} else {set CONFIG_MMU_PAGE_4K 0} - global CONFIG_MMU_PAGE_8K - if {$tmpvar_22 == "8K"} then {set CONFIG_MMU_PAGE_8K 1} else {set CONFIG_MMU_PAGE_8K 0} - global CONFIG_MMU_PAGE_16K - if {$tmpvar_22 == "16K"} then {set CONFIG_MMU_PAGE_16K 1} else {set CONFIG_MMU_PAGE_16K 0} - global CONFIG_MMU_PAGE_32K - if {$tmpvar_22 == "32K"} then {set CONFIG_MMU_PAGE_32K 1} else {set CONFIG_MMU_PAGE_32K 0} - global CONFIG_MMU_PAGE_PROG - if {$tmpvar_22 == "Programmable"} then {set CONFIG_MMU_PAGE_PROG 1} else {set CONFIG_MMU_PAGE_PROG 0} -} - - -proc menu8 {w title} { - set oldFocus [focus] - catch {focus .menu3} - catch {destroy $w; unregister_active 8} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 8]] - message $w.m -width 400 -aspect 300 -text \ - "Debug Support Unit " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Debug Support Unit " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 8; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 8; menu9 .menu9 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 8" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 8; menu7 .menu7 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 8; menu7 .menu7 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 8 0 "Enable LEON3 Debug support unit " CONFIG_DSU_ENABLE - bool $w.config.f 8 1 "Instruction trace buffer" CONFIG_DSU_ITRACE - global tmpvar_23 - minimenu $w.config.f 8 2 "Instruction trace buffer size (kbytes)" tmpvar_23 CONFIG_DSU_ITRACESZ1 - menu $w.config.f.x2.x.menu -tearoffcommand "menutitle \"Instruction trace buffer size (kbytes)\"" - $w.config.f.x2.x.menu add radiobutton -label "1" -variable tmpvar_23 -value "1" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "2" -variable tmpvar_23 -value "2" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "4" -variable tmpvar_23 -value "4" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "8" -variable tmpvar_23 -value "8" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "16" -variable tmpvar_23 -value "16" -command "update_active" - menusplit $w $w.config.f.x2.x.menu 5 - bool $w.config.f 8 3 "AHB trace buffer" CONFIG_DSU_ATRACE - global tmpvar_24 - minimenu $w.config.f 8 4 "AHB trace buffer size (kbytes)" tmpvar_24 CONFIG_DSU_ATRACESZ1 - menu $w.config.f.x4.x.menu -tearoffcommand "menutitle \"AHB trace buffer size (kbytes)\"" - $w.config.f.x4.x.menu add radiobutton -label "1" -variable tmpvar_24 -value "1" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "2" -variable tmpvar_24 -value "2" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "4" -variable tmpvar_24 -value "4" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "8" -variable tmpvar_24 -value "8" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "16" -variable tmpvar_24 -value "16" -command "update_active" - menusplit $w $w.config.f.x4.x.menu 5 - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu3] == 0} then {menu3 .menu3 "Processor "} - set winx [expr [winfo x .menu3]+30]; set winy [expr [winfo y .menu3]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu8 {} { - global CONFIG_LEON3 - global CONFIG_DSU_ENABLE - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu8.config.f.x0 normal {n l y}} else {configure_entry .menu8.config.f.x0 disabled {y n l}} - global CONFIG_DSU_ITRACE - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1)} then { - configure_entry .menu8.config.f.x1 normal {n l y}} else {configure_entry .menu8.config.f.x1 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1) && ($CONFIG_DSU_ITRACE == 1)} then {configure_entry .menu8.config.f.x2 normal {x l}} else {configure_entry .menu8.config.f.x2 disabled {x l}} - global CONFIG_DSU_ATRACE - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1)} then { - configure_entry .menu8.config.f.x3 normal {n l y}} else {configure_entry .menu8.config.f.x3 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1) && ($CONFIG_DSU_ATRACE == 1)} then {configure_entry .menu8.config.f.x4 normal {x l}} else {configure_entry .menu8.config.f.x4 disabled {x l}} -} - - -proc update_define_menu8 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_DSU_ENABLE - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_DSU_ENABLE [expr $CONFIG_DSU_ENABLE&15]} else {set CONFIG_DSU_ENABLE [expr $CONFIG_DSU_ENABLE|16]} - global CONFIG_DSU_ITRACE - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1)} then { - set CONFIG_DSU_ITRACE [expr $CONFIG_DSU_ITRACE&15]} else {set CONFIG_DSU_ITRACE [expr $CONFIG_DSU_ITRACE|16]} - global tmpvar_23 - global CONFIG_DSU_ITRACESZ1 - if {$tmpvar_23 == "1"} then {set CONFIG_DSU_ITRACESZ1 1} else {set CONFIG_DSU_ITRACESZ1 0} - global CONFIG_DSU_ITRACESZ2 - if {$tmpvar_23 == "2"} then {set CONFIG_DSU_ITRACESZ2 1} else {set CONFIG_DSU_ITRACESZ2 0} - global CONFIG_DSU_ITRACESZ4 - if {$tmpvar_23 == "4"} then {set CONFIG_DSU_ITRACESZ4 1} else {set CONFIG_DSU_ITRACESZ4 0} - global CONFIG_DSU_ITRACESZ8 - if {$tmpvar_23 == "8"} then {set CONFIG_DSU_ITRACESZ8 1} else {set CONFIG_DSU_ITRACESZ8 0} - global CONFIG_DSU_ITRACESZ16 - if {$tmpvar_23 == "16"} then {set CONFIG_DSU_ITRACESZ16 1} else {set CONFIG_DSU_ITRACESZ16 0} - global CONFIG_DSU_ATRACE - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1)} then { - set CONFIG_DSU_ATRACE [expr $CONFIG_DSU_ATRACE&15]} else {set CONFIG_DSU_ATRACE [expr $CONFIG_DSU_ATRACE|16]} - global tmpvar_24 - global CONFIG_DSU_ATRACESZ1 - if {$tmpvar_24 == "1"} then {set CONFIG_DSU_ATRACESZ1 1} else {set CONFIG_DSU_ATRACESZ1 0} - global CONFIG_DSU_ATRACESZ2 - if {$tmpvar_24 == "2"} then {set CONFIG_DSU_ATRACESZ2 1} else {set CONFIG_DSU_ATRACESZ2 0} - global CONFIG_DSU_ATRACESZ4 - if {$tmpvar_24 == "4"} then {set CONFIG_DSU_ATRACESZ4 1} else {set CONFIG_DSU_ATRACESZ4 0} - global CONFIG_DSU_ATRACESZ8 - if {$tmpvar_24 == "8"} then {set CONFIG_DSU_ATRACESZ8 1} else {set CONFIG_DSU_ATRACESZ8 0} - global CONFIG_DSU_ATRACESZ16 - if {$tmpvar_24 == "16"} then {set CONFIG_DSU_ATRACESZ16 1} else {set CONFIG_DSU_ATRACESZ16 0} -} - - -proc menu9 {w title} { - set oldFocus [focus] - catch {focus .menu3} - catch {destroy $w; unregister_active 9} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 9]] - message $w.m -width 400 -aspect 300 -text \ - "Fault-tolerance " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Fault-tolerance " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 9; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 9; menu10 .menu10 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 9" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 9; menu8 .menu8 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 9; menu8 .menu8 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 9 0 "Use LEON3-FT processor core " CONFIG_LEON3FT_EN - global tmpvar_25 - minimenu $w.config.f 9 1 "IU Register file protection " tmpvar_25 CONFIG_IUFT_NONE - menu $w.config.f.x1.x.menu -tearoffcommand "menutitle \"IU Register file protection \"" - $w.config.f.x1.x.menu add radiobutton -label "None" -variable tmpvar_25 -value "None" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "Parity" -variable tmpvar_25 -value "Parity" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "PDMR" -variable tmpvar_25 -value "PDMR" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "BCH" -variable tmpvar_25 -value "BCH" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "TMR" -variable tmpvar_25 -value "TMR" -command "update_active" - menusplit $w $w.config.f.x1.x.menu 5 - bool $w.config.f 9 2 "FPU Register file protection " CONFIG_FPUFT_EN - bool $w.config.f 9 3 "Register file error injection" CONFIG_RF_ERRINJ - bool $w.config.f 9 4 "Cache memory protection " CONFIG_CACHE_FT_EN - int $w.config.f 9 5 "Cache memory error injection" CONFIG_CACHE_ERRINJ - bool $w.config.f 9 6 "Use LEON3FT netlist " CONFIG_LEON3_NETLIST - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu3] == 0} then {menu3 .menu3 "Processor "} - set winx [expr [winfo x .menu3]+30]; set winy [expr [winfo y .menu3]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu9 {} { - global CONFIG_LEON3 - global CONFIG_LEON3FT_EN - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu9.config.f.x0 normal {n l y}} else {configure_entry .menu9.config.f.x0 disabled {y n l}} - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then {configure_entry .menu9.config.f.x1 normal {x l}} else {configure_entry .menu9.config.f.x1 disabled {x l}} - global CONFIG_FPU_ENABLE - global CONFIG_FPUFT_EN - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1) && ($CONFIG_FPU_ENABLE == 1)} then { - configure_entry .menu9.config.f.x2 normal {n l y}} else {configure_entry .menu9.config.f.x2 disabled {y n l}} - global CONFIG_RF_ERRINJ - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then { - configure_entry .menu9.config.f.x3 normal {n l y}} else {configure_entry .menu9.config.f.x3 disabled {y n l}} - global CONFIG_CACHE_FT_EN - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then { - configure_entry .menu9.config.f.x4 normal {n l y}} else {configure_entry .menu9.config.f.x4 disabled {y n l}} - global CONFIG_CACHE_ERRINJ - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then {.menu9.config.f.x5.x configure -state normal -foreground [ cget .ref -foreground ]; .menu9.config.f.x5.l configure -state normal; } else {.menu9.config.f.x5.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu9.config.f.x5.l configure -state disabled} - global CONFIG_LEON3_NETLIST - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then { - configure_entry .menu9.config.f.x6 normal {n l y}} else {configure_entry .menu9.config.f.x6 disabled {y n l}} -} - - -proc update_define_menu9 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_LEON3FT_EN - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_LEON3FT_EN [expr $CONFIG_LEON3FT_EN&15]} else {set CONFIG_LEON3FT_EN [expr $CONFIG_LEON3FT_EN|16]} - global tmpvar_25 - global CONFIG_IUFT_NONE - if {$tmpvar_25 == "None"} then {set CONFIG_IUFT_NONE 1} else {set CONFIG_IUFT_NONE 0} - global CONFIG_IUFT_PAR - if {$tmpvar_25 == "Parity"} then {set CONFIG_IUFT_PAR 1} else {set CONFIG_IUFT_PAR 0} - global CONFIG_IUFT_DMR - if {$tmpvar_25 == "PDMR"} then {set CONFIG_IUFT_DMR 1} else {set CONFIG_IUFT_DMR 0} - global CONFIG_IUFT_BCH - if {$tmpvar_25 == "BCH"} then {set CONFIG_IUFT_BCH 1} else {set CONFIG_IUFT_BCH 0} - global CONFIG_IUFT_TMR - if {$tmpvar_25 == "TMR"} then {set CONFIG_IUFT_TMR 1} else {set CONFIG_IUFT_TMR 0} - global CONFIG_FPU_ENABLE - global CONFIG_FPUFT_EN - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1) && ($CONFIG_FPU_ENABLE == 1)} then { - set CONFIG_FPUFT_EN [expr $CONFIG_FPUFT_EN&15]} else {set CONFIG_FPUFT_EN [expr $CONFIG_FPUFT_EN|16]} - global CONFIG_RF_ERRINJ - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then { - set CONFIG_RF_ERRINJ [expr $CONFIG_RF_ERRINJ&15]} else {set CONFIG_RF_ERRINJ [expr $CONFIG_RF_ERRINJ|16]} - global CONFIG_CACHE_FT_EN - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then { - set CONFIG_CACHE_FT_EN [expr $CONFIG_CACHE_FT_EN&15]} else {set CONFIG_CACHE_FT_EN [expr $CONFIG_CACHE_FT_EN|16]} - global CONFIG_CACHE_ERRINJ - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then {validate_int CONFIG_CACHE_ERRINJ "$CONFIG_CACHE_ERRINJ" 0} - global CONFIG_LEON3_NETLIST - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then { - set CONFIG_LEON3_NETLIST [expr $CONFIG_LEON3_NETLIST&15]} else {set CONFIG_LEON3_NETLIST [expr $CONFIG_LEON3_NETLIST|16]} -} - - -proc menu10 {w title} { - set oldFocus [focus] - catch {focus .menu3} - catch {destroy $w; unregister_active 10} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 10]] - message $w.m -width 400 -aspect 300 -text \ - "VHDL debug settings " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "VHDL debug settings " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 10; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 10; catch {destroy .menu3}; unregister_active 3; menu11 .menu11 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 10" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 10; menu9 .menu9 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 10; menu9 .menu9 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 10 0 "Processor disassembly to console " CONFIG_IU_DISAS - bool $w.config.f 10 1 "Processor disassembly in netlist " CONFIG_IU_DISAS_NET - bool $w.config.f 10 2 "32-bit program counters " CONFIG_DEBUG_PC32 - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu3] == 0} then {menu3 .menu3 "Processor "} - set winx [expr [winfo x .menu3]+30]; set winy [expr [winfo y .menu3]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu10 {} { - global CONFIG_LEON3 - global CONFIG_IU_DISAS - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu10.config.f.x0 normal {n l y}} else {configure_entry .menu10.config.f.x0 disabled {y n l}} - global CONFIG_IU_DISAS_NET - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_DISAS == 1)} then { - configure_entry .menu10.config.f.x1 normal {n l y}} else {configure_entry .menu10.config.f.x1 disabled {y n l}} - global CONFIG_DEBUG_PC32 - if {($CONFIG_LEON3 == 1)} then { - configure_entry .menu10.config.f.x2 normal {n l y}} else {configure_entry .menu10.config.f.x2 disabled {y n l}} -} - - -proc update_define_menu10 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_LEON3 - global CONFIG_IU_DISAS - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_IU_DISAS [expr $CONFIG_IU_DISAS&15]} else {set CONFIG_IU_DISAS [expr $CONFIG_IU_DISAS|16]} - global CONFIG_IU_DISAS_NET - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_DISAS == 1)} then { - set CONFIG_IU_DISAS_NET [expr $CONFIG_IU_DISAS_NET&15]} else {set CONFIG_IU_DISAS_NET [expr $CONFIG_IU_DISAS_NET|16]} - global CONFIG_DEBUG_PC32 - if {($CONFIG_LEON3 == 1)} then { - set CONFIG_DEBUG_PC32 [expr $CONFIG_DEBUG_PC32&15]} else {set CONFIG_DEBUG_PC32 [expr $CONFIG_DEBUG_PC32|16]} -} - - -menu_option menu11 11 "AMBA configuration" -proc menu11 {w title} { - set oldFocus [focus] - catch {destroy $w; unregister_active 11} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 11]] - message $w.m -width 400 -aspect 300 -text \ - "AMBA configuration" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "AMBA configuration" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 11; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 11; menu12 .menu12 \"$title\"" - frame $w.f - button $w.f.back -text "Main Menu" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 11" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 11; menu10 .menu10 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 11; menu10 .menu10 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - int $w.config.f 11 0 "Default AHB master" CONFIG_AHB_DEFMST - bool $w.config.f 11 1 "Round-robin arbiter " CONFIG_AHB_RROBIN - bool $w.config.f 11 2 "AHB split-transaction support " CONFIG_AHB_SPLIT - hex $w.config.f 11 3 "I/O area start address (haddr\[31:20\]) " CONFIG_AHB_IOADDR - hex $w.config.f 11 4 "AHB/APB bridge address (haddr\[31:20\]) " CONFIG_APB_HADDR - bool $w.config.f 11 5 "Enable AMBA AHB monitor " CONFIG_AHB_MON - bool $w.config.f 11 6 "Report AHB errors " CONFIG_AHB_MONERR - bool $w.config.f 11 7 "Report AHB warings " CONFIG_AHB_MONWAR - bool $w.config.f 11 8 "Write trace to simulation console " CONFIG_AHB_DTRACE - - - - focus $w - update_active - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu11 {} { - global CONFIG_AHB_MON - global CONFIG_AHB_MONERR - if {($CONFIG_AHB_MON == 1)} then { - configure_entry .menu11.config.f.x6 normal {n l y}} else {configure_entry .menu11.config.f.x6 disabled {y n l}} - global CONFIG_AHB_MONWAR - if {($CONFIG_AHB_MON == 1)} then { - configure_entry .menu11.config.f.x7 normal {n l y}} else {configure_entry .menu11.config.f.x7 disabled {y n l}} -} - - -proc update_define_menu11 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_AHB_MON - global CONFIG_AHB_MONERR - if {($CONFIG_AHB_MON == 1)} then { - set CONFIG_AHB_MONERR [expr $CONFIG_AHB_MONERR&15]} else {set CONFIG_AHB_MONERR [expr $CONFIG_AHB_MONERR|16]} - global CONFIG_AHB_MONWAR - if {($CONFIG_AHB_MON == 1)} then { - set CONFIG_AHB_MONWAR [expr $CONFIG_AHB_MONWAR&15]} else {set CONFIG_AHB_MONWAR [expr $CONFIG_AHB_MONWAR|16]} -} - - -menu_option menu12 12 "Debug Link " -proc menu12 {w title} { - set oldFocus [focus] - catch {destroy $w; unregister_active 12} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 12]] - message $w.m -width 400 -aspect 300 -text \ - "Debug Link " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Debug Link " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 12; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 12; menu13 .menu13 \"$title\"" - frame $w.f - button $w.f.back -text "Main Menu" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 12" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 12; menu11 .menu11 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 12; menu11 .menu11 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 12 0 "JTAG Debug Link" CONFIG_DSU_JTAG - bool $w.config.f 12 1 "Ethernet Debug Communication Link (EDCL)" CONFIG_DSU_ETH - global tmpvar_26 - minimenu $w.config.f 12 2 "Ethernet/AHB bridge buffer size (kbytes)" tmpvar_26 CONFIG_DSU_ETHSZ1 - menu $w.config.f.x2.x.menu -tearoffcommand "menutitle \"Ethernet/AHB bridge buffer size (kbytes)\"" - $w.config.f.x2.x.menu add radiobutton -label "1" -variable tmpvar_26 -value "1" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "2" -variable tmpvar_26 -value "2" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "4" -variable tmpvar_26 -value "4" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "8" -variable tmpvar_26 -value "8" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "16" -variable tmpvar_26 -value "16" -command "update_active" - menusplit $w $w.config.f.x2.x.menu 5 - hex $w.config.f 12 3 "MSB 16 bits of IP address (hex) " CONFIG_DSU_IPMSB - hex $w.config.f 12 4 "LSB 16 bits of IP address (hex) " CONFIG_DSU_IPLSB - hex $w.config.f 12 5 "MSB 24 bits of ethern number (hex) " CONFIG_DSU_ETHMSB - hex $w.config.f 12 6 "LSB 24 bits of ethern number (hex) " CONFIG_DSU_ETHLSB - bool $w.config.f 12 7 "Programmable 4-bit LSB of MAC/IP address" CONFIG_DSU_ETH_PROG - bool $w.config.f 12 8 "EDCL disable pin " CONFIG_DSU_ETH_DIS - - - - focus $w - update_active - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu12 {} { - global CONFIG_GRETH_ENABLE - global CONFIG_DSU_ETH - if {($CONFIG_GRETH_ENABLE == 1)} then { - configure_entry .menu12.config.f.x1 normal {n l y}} else {configure_entry .menu12.config.f.x1 disabled {y n l}} - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {configure_entry .menu12.config.f.x2 normal {x l}} else {configure_entry .menu12.config.f.x2 disabled {x l}} - global CONFIG_DSU_IPMSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {.menu12.config.f.x3.x configure -state normal -foreground [ cget .ref -foreground ]; .menu12.config.f.x3.l configure -state normal; } else {.menu12.config.f.x3.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu12.config.f.x3.l configure -state disabled} - global CONFIG_DSU_IPLSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {.menu12.config.f.x4.x configure -state normal -foreground [ cget .ref -foreground ]; .menu12.config.f.x4.l configure -state normal; } else {.menu12.config.f.x4.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu12.config.f.x4.l configure -state disabled} - global CONFIG_DSU_ETHMSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {.menu12.config.f.x5.x configure -state normal -foreground [ cget .ref -foreground ]; .menu12.config.f.x5.l configure -state normal; } else {.menu12.config.f.x5.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu12.config.f.x5.l configure -state disabled} - global CONFIG_DSU_ETHLSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {.menu12.config.f.x6.x configure -state normal -foreground [ cget .ref -foreground ]; .menu12.config.f.x6.l configure -state normal; } else {.menu12.config.f.x6.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu12.config.f.x6.l configure -state disabled} - global CONFIG_GRETH_GIGA - global CONFIG_DSU_ETH_PROG - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1) && ($CONFIG_GRETH_GIGA == 0)} then { - configure_entry .menu12.config.f.x7 normal {n l y}} else {configure_entry .menu12.config.f.x7 disabled {y n l}} - global CONFIG_DSU_ETH_DIS - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1) && ($CONFIG_GRETH_GIGA == 0)} then { - configure_entry .menu12.config.f.x8 normal {n l y}} else {configure_entry .menu12.config.f.x8 disabled {y n l}} -} - - -proc update_define_menu12 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_GRETH_ENABLE - global CONFIG_DSU_ETH - if {($CONFIG_GRETH_ENABLE == 1)} then { - set CONFIG_DSU_ETH [expr $CONFIG_DSU_ETH&15]} else {set CONFIG_DSU_ETH [expr $CONFIG_DSU_ETH|16]} - global tmpvar_26 - global CONFIG_DSU_ETHSZ1 - if {$tmpvar_26 == "1"} then {set CONFIG_DSU_ETHSZ1 1} else {set CONFIG_DSU_ETHSZ1 0} - global CONFIG_DSU_ETHSZ2 - if {$tmpvar_26 == "2"} then {set CONFIG_DSU_ETHSZ2 1} else {set CONFIG_DSU_ETHSZ2 0} - global CONFIG_DSU_ETHSZ4 - if {$tmpvar_26 == "4"} then {set CONFIG_DSU_ETHSZ4 1} else {set CONFIG_DSU_ETHSZ4 0} - global CONFIG_DSU_ETHSZ8 - if {$tmpvar_26 == "8"} then {set CONFIG_DSU_ETHSZ8 1} else {set CONFIG_DSU_ETHSZ8 0} - global CONFIG_DSU_ETHSZ16 - if {$tmpvar_26 == "16"} then {set CONFIG_DSU_ETHSZ16 1} else {set CONFIG_DSU_ETHSZ16 0} - global CONFIG_DSU_IPMSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {validate_hex CONFIG_DSU_IPMSB "$CONFIG_DSU_IPMSB" C0A8} - global CONFIG_DSU_IPLSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {validate_hex CONFIG_DSU_IPLSB "$CONFIG_DSU_IPLSB" 0033} - global CONFIG_DSU_ETHMSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {validate_hex CONFIG_DSU_ETHMSB "$CONFIG_DSU_ETHMSB" 020000} - global CONFIG_DSU_ETHLSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {validate_hex CONFIG_DSU_ETHLSB "$CONFIG_DSU_ETHLSB" 000000} - global CONFIG_GRETH_GIGA - global CONFIG_DSU_ETH_PROG - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1) && ($CONFIG_GRETH_GIGA == 0)} then { - set CONFIG_DSU_ETH_PROG [expr $CONFIG_DSU_ETH_PROG&15]} else {set CONFIG_DSU_ETH_PROG [expr $CONFIG_DSU_ETH_PROG|16]} - global CONFIG_DSU_ETH_DIS - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1) && ($CONFIG_GRETH_GIGA == 0)} then { - set CONFIG_DSU_ETH_DIS [expr $CONFIG_DSU_ETH_DIS&15]} else {set CONFIG_DSU_ETH_DIS [expr $CONFIG_DSU_ETH_DIS|16]} -} - - -menu_option menu13 13 "Peripherals " -proc menu13 {w title} { - set oldFocus [focus] - catch {destroy $w; unregister_active 13} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 13]] - message $w.m -width 400 -aspect 300 -text \ - "Peripherals " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Peripherals " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 13; break" - set nextscript "catch {focus $oldFocus}; menu14 .menu14 \"$title\"" - frame $w.f - button $w.f.back -text "Main Menu" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 13" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 13; menu12 .menu12 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 13; menu12 .menu12 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - submenu $w.config.f 13 0 "Memory controller " 14 - submenu $w.config.f 13 1 "On-chip RAM/ROM " 17 - submenu $w.config.f 13 2 "Ethernet " 18 - submenu $w.config.f 13 3 "UART, timer, I/O port and interrupt controller" 19 - submenu $w.config.f 13 4 "Keybord and VGA interface" 20 - submenu $w.config.f 13 5 "SPI" 21 - - - - focus $w - update_active - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu13 {} { -} - - -proc update_define_menu13 {} { - update_define_mainmenu - global CONFIG_MODULES -} - - -proc menu14 {w title} { - set oldFocus [focus] - catch {focus .menu13} - catch {destroy $w; unregister_active 14} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 14]] - message $w.m -width 400 -aspect 300 -text \ - "Memory controller " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Memory controller " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 14; break" - set nextscript "catch {focus $oldFocus}; menu15 .menu15 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 14" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 14; menu13 .menu13 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 14; menu13 .menu13 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - submenu $w.config.f 14 0 "Leon2 memory controller " 15 - submenu $w.config.f 14 1 "MIG memory controller " 16 - bool $w.config.f 14 2 "Enable AHB Status Register " CONFIG_AHBSTAT_ENABLE - int $w.config.f 14 3 "Number of correctable-error slaves " CONFIG_AHBSTAT_NFTSLV - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu13] == 0} then {menu13 .menu13 "Peripherals "} - set winx [expr [winfo x .menu13]+30]; set winy [expr [winfo y .menu13]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu14 {} { - global CONFIG_AHBSTAT_ENABLE - global CONFIG_AHBSTAT_NFTSLV - if {($CONFIG_AHBSTAT_ENABLE == 1)} then {.menu14.config.f.x3.x configure -state normal -foreground [ cget .ref -foreground ]; .menu14.config.f.x3.l configure -state normal; } else {.menu14.config.f.x3.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu14.config.f.x3.l configure -state disabled} -} - - -proc update_define_menu14 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_AHBSTAT_ENABLE - global CONFIG_AHBSTAT_NFTSLV - if {($CONFIG_AHBSTAT_ENABLE == 1)} then {validate_int CONFIG_AHBSTAT_NFTSLV "$CONFIG_AHBSTAT_NFTSLV" 1} -} - - -proc menu15 {w title} { - set oldFocus [focus] - catch {focus .menu14} - catch {destroy $w; unregister_active 15} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 15]] - message $w.m -width 400 -aspect 300 -text \ - "Leon2 memory controller " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Leon2 memory controller " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 15; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 15; menu16 .menu16 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 15" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 15; menu14 .menu14 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 15; menu14 .menu14 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 15 0 "Enable Leon2 memory controller " CONFIG_MCTRL_LEON2 - bool $w.config.f 15 1 "8-bit PROM/SRAM bus support " CONFIG_MCTRL_8BIT - bool $w.config.f 15 2 "16-bit PROM/SRAM bus support " CONFIG_MCTRL_16BIT - bool $w.config.f 15 3 "5th SRAM chip-select " CONFIG_MCTRL_5CS - bool $w.config.f 15 4 "SDRAM controller " CONFIG_MCTRL_SDRAM - bool $w.config.f 15 5 "Separate address and data buses" CONFIG_MCTRL_SDRAM_SEPBUS - bool $w.config.f 15 6 "64-bit SDRAM data bus" CONFIG_MCTRL_SDRAM_BUS64 - bool $w.config.f 15 7 "Unsynchronized sdclock" CONFIG_MCTRL_SDRAM_INVCLK - bool $w.config.f 15 8 "Enable page burst operation " CONFIG_MCTRL_PAGE - bool $w.config.f 15 9 "Enable programmable page burst " CONFIG_MCTRL_PROGPAGE - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu14] == 0} then {menu14 .menu14 "Memory controller "} - set winx [expr [winfo x .menu14]+30]; set winy [expr [winfo y .menu14]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu15 {} { - global CONFIG_MCTRL_LEON2 - global CONFIG_MCTRL_8BIT - if {($CONFIG_MCTRL_LEON2 == 1)} then { - configure_entry .menu15.config.f.x1 normal {n l y}} else {configure_entry .menu15.config.f.x1 disabled {y n l}} - global CONFIG_MCTRL_16BIT - if {($CONFIG_MCTRL_LEON2 == 1)} then { - configure_entry .menu15.config.f.x2 normal {n l y}} else {configure_entry .menu15.config.f.x2 disabled {y n l}} - global CONFIG_MCTRL_5CS - if {($CONFIG_MCTRL_LEON2 == 1)} then { - configure_entry .menu15.config.f.x3 normal {n l y}} else {configure_entry .menu15.config.f.x3 disabled {y n l}} - global CONFIG_MCTRL_SDRAM - if {($CONFIG_MCTRL_LEON2 == 1)} then { - configure_entry .menu15.config.f.x4 normal {n l y}} else {configure_entry .menu15.config.f.x4 disabled {y n l}} - global CONFIG_MCTRL_SDRAM_SEPBUS - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1)} then { - configure_entry .menu15.config.f.x5 normal {n l y}} else {configure_entry .menu15.config.f.x5 disabled {y n l}} - global CONFIG_MCTRL_SDRAM_BUS64 - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_SDRAM_SEPBUS == 1)} then { - configure_entry .menu15.config.f.x6 normal {n l y}} else {configure_entry .menu15.config.f.x6 disabled {y n l}} - global CONFIG_MCTRL_SDRAM_INVCLK - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_SDRAM_SEPBUS == 1)} then { - configure_entry .menu15.config.f.x7 normal {n l y}} else {configure_entry .menu15.config.f.x7 disabled {y n l}} - global CONFIG_MCTRL_PAGE - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1)} then { - configure_entry .menu15.config.f.x8 normal {n l y}} else {configure_entry .menu15.config.f.x8 disabled {y n l}} - global CONFIG_MCTRL_PROGPAGE - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_PAGE == 1)} then { - configure_entry .menu15.config.f.x9 normal {n l y}} else {configure_entry .menu15.config.f.x9 disabled {y n l}} -} - - -proc update_define_menu15 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_MCTRL_LEON2 - global CONFIG_MCTRL_8BIT - if {($CONFIG_MCTRL_LEON2 == 1)} then { - set CONFIG_MCTRL_8BIT [expr $CONFIG_MCTRL_8BIT&15]} else {set CONFIG_MCTRL_8BIT [expr $CONFIG_MCTRL_8BIT|16]} - global CONFIG_MCTRL_16BIT - if {($CONFIG_MCTRL_LEON2 == 1)} then { - set CONFIG_MCTRL_16BIT [expr $CONFIG_MCTRL_16BIT&15]} else {set CONFIG_MCTRL_16BIT [expr $CONFIG_MCTRL_16BIT|16]} - global CONFIG_MCTRL_5CS - if {($CONFIG_MCTRL_LEON2 == 1)} then { - set CONFIG_MCTRL_5CS [expr $CONFIG_MCTRL_5CS&15]} else {set CONFIG_MCTRL_5CS [expr $CONFIG_MCTRL_5CS|16]} - global CONFIG_MCTRL_SDRAM - if {($CONFIG_MCTRL_LEON2 == 1)} then { - set CONFIG_MCTRL_SDRAM [expr $CONFIG_MCTRL_SDRAM&15]} else {set CONFIG_MCTRL_SDRAM [expr $CONFIG_MCTRL_SDRAM|16]} - global CONFIG_MCTRL_SDRAM_SEPBUS - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1)} then { - set CONFIG_MCTRL_SDRAM_SEPBUS [expr $CONFIG_MCTRL_SDRAM_SEPBUS&15]} else {set CONFIG_MCTRL_SDRAM_SEPBUS [expr $CONFIG_MCTRL_SDRAM_SEPBUS|16]} - global CONFIG_MCTRL_SDRAM_BUS64 - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_SDRAM_SEPBUS == 1)} then { - set CONFIG_MCTRL_SDRAM_BUS64 [expr $CONFIG_MCTRL_SDRAM_BUS64&15]} else {set CONFIG_MCTRL_SDRAM_BUS64 [expr $CONFIG_MCTRL_SDRAM_BUS64|16]} - global CONFIG_MCTRL_SDRAM_INVCLK - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_SDRAM_SEPBUS == 1)} then { - set CONFIG_MCTRL_SDRAM_INVCLK [expr $CONFIG_MCTRL_SDRAM_INVCLK&15]} else {set CONFIG_MCTRL_SDRAM_INVCLK [expr $CONFIG_MCTRL_SDRAM_INVCLK|16]} - global CONFIG_MCTRL_PAGE - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1)} then { - set CONFIG_MCTRL_PAGE [expr $CONFIG_MCTRL_PAGE&15]} else {set CONFIG_MCTRL_PAGE [expr $CONFIG_MCTRL_PAGE|16]} - global CONFIG_MCTRL_PROGPAGE - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_PAGE == 1)} then { - set CONFIG_MCTRL_PROGPAGE [expr $CONFIG_MCTRL_PROGPAGE&15]} else {set CONFIG_MCTRL_PROGPAGE [expr $CONFIG_MCTRL_PROGPAGE|16]} -} - - -proc menu16 {w title} { - set oldFocus [focus] - catch {focus .menu14} - catch {destroy $w; unregister_active 16} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 16]] - message $w.m -width 400 -aspect 300 -text \ - "MIG memory controller " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "MIG memory controller " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 16; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 16; catch {destroy .menu14}; unregister_active 14; menu17 .menu17 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 16" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 16; menu15 .menu15 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 16; menu15 .menu15 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 16 0 "Enable Xilinx MIG memory controller" CONFIG_MIG_DDR2 - int $w.config.f 16 1 "Chip selects (ranks) " CONFIG_MIG_RANKS - int $w.config.f 16 2 "Column bits " CONFIG_MIG_COLBITS - int $w.config.f 16 3 "Row bits " CONFIG_MIG_ROWBITS - int $w.config.f 16 4 "Bank bits " CONFIG_MIG_BANKBITS - hex $w.config.f 16 5 "AHB HMASK " CONFIG_MIG_HMASK - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu14] == 0} then {menu14 .menu14 "Memory controller "} - set winx [expr [winfo x .menu14]+30]; set winy [expr [winfo y .menu14]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu16 {} { - global CONFIG_MIG_DDR2 - global CONFIG_MIG_RANKS - if {($CONFIG_MIG_DDR2 == 1)} then {.menu16.config.f.x1.x configure -state normal -foreground [ cget .ref -foreground ]; .menu16.config.f.x1.l configure -state normal; } else {.menu16.config.f.x1.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu16.config.f.x1.l configure -state disabled} - global CONFIG_MIG_COLBITS - if {($CONFIG_MIG_DDR2 == 1)} then {.menu16.config.f.x2.x configure -state normal -foreground [ cget .ref -foreground ]; .menu16.config.f.x2.l configure -state normal; } else {.menu16.config.f.x2.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu16.config.f.x2.l configure -state disabled} - global CONFIG_MIG_ROWBITS - if {($CONFIG_MIG_DDR2 == 1)} then {.menu16.config.f.x3.x configure -state normal -foreground [ cget .ref -foreground ]; .menu16.config.f.x3.l configure -state normal; } else {.menu16.config.f.x3.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu16.config.f.x3.l configure -state disabled} - global CONFIG_MIG_BANKBITS - if {($CONFIG_MIG_DDR2 == 1)} then {.menu16.config.f.x4.x configure -state normal -foreground [ cget .ref -foreground ]; .menu16.config.f.x4.l configure -state normal; } else {.menu16.config.f.x4.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu16.config.f.x4.l configure -state disabled} - global CONFIG_MIG_HMASK - if {($CONFIG_MIG_DDR2 == 1)} then {.menu16.config.f.x5.x configure -state normal -foreground [ cget .ref -foreground ]; .menu16.config.f.x5.l configure -state normal; } else {.menu16.config.f.x5.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu16.config.f.x5.l configure -state disabled} -} - - -proc update_define_menu16 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_MIG_DDR2 - global CONFIG_MIG_RANKS - if {($CONFIG_MIG_DDR2 == 1)} then {validate_int CONFIG_MIG_RANKS "$CONFIG_MIG_RANKS" 1} - global CONFIG_MIG_COLBITS - if {($CONFIG_MIG_DDR2 == 1)} then {validate_int CONFIG_MIG_COLBITS "$CONFIG_MIG_COLBITS" 10} - global CONFIG_MIG_ROWBITS - if {($CONFIG_MIG_DDR2 == 1)} then {validate_int CONFIG_MIG_ROWBITS "$CONFIG_MIG_ROWBITS" 13} - global CONFIG_MIG_BANKBITS - if {($CONFIG_MIG_DDR2 == 1)} then {validate_int CONFIG_MIG_BANKBITS "$CONFIG_MIG_BANKBITS" 2} - global CONFIG_MIG_HMASK - if {($CONFIG_MIG_DDR2 == 1)} then {validate_hex CONFIG_MIG_HMASK "$CONFIG_MIG_HMASK" F00} -} - - -proc menu17 {w title} { - set oldFocus [focus] - catch {focus .menu13} - catch {destroy $w; unregister_active 17} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 17]] - message $w.m -width 400 -aspect 300 -text \ - "On-chip RAM/ROM " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "On-chip RAM/ROM " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 17; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 17; menu18 .menu18 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 17" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 17; menu16 .menu16 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 17; menu16 .menu16 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 17 0 "On-chip AHB ROM " CONFIG_AHBROM_ENABLE - hex $w.config.f 17 1 "ROM start address (haddr\[31:20\]) " CONFIG_AHBROM_START - bool $w.config.f 17 2 "Pipelined ROM access " CONFIG_AHBROM_PIPE - bool $w.config.f 17 3 "On-chip AHB RAM " CONFIG_AHBRAM_ENABLE - global tmpvar_27 - minimenu $w.config.f 17 4 "AHB RAM size (Kbyte)" tmpvar_27 CONFIG_AHBRAM_SZ1 - menu $w.config.f.x4.x.menu -tearoffcommand "menutitle \"AHB RAM size (Kbyte)\"" - $w.config.f.x4.x.menu add radiobutton -label "1" -variable tmpvar_27 -value "1" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "2" -variable tmpvar_27 -value "2" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "4" -variable tmpvar_27 -value "4" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "8" -variable tmpvar_27 -value "8" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "16" -variable tmpvar_27 -value "16" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "32" -variable tmpvar_27 -value "32" -command "update_active" - $w.config.f.x4.x.menu add radiobutton -label "64" -variable tmpvar_27 -value "64" -command "update_active" - menusplit $w $w.config.f.x4.x.menu 7 - hex $w.config.f 17 5 "RAM start address (haddr\[31:20\]) " CONFIG_AHBRAM_START - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu13] == 0} then {menu13 .menu13 "Peripherals "} - set winx [expr [winfo x .menu13]+30]; set winy [expr [winfo y .menu13]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu17 {} { - global CONFIG_AHBROM_ENABLE - global CONFIG_AHBROM_START - if {($CONFIG_AHBROM_ENABLE == 1)} then {.menu17.config.f.x1.x configure -state normal -foreground [ cget .ref -foreground ]; .menu17.config.f.x1.l configure -state normal; } else {.menu17.config.f.x1.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu17.config.f.x1.l configure -state disabled} - global CONFIG_AHBROM_PIPE - if {($CONFIG_AHBROM_ENABLE == 1)} then { - configure_entry .menu17.config.f.x2 normal {n l y}} else {configure_entry .menu17.config.f.x2 disabled {y n l}} - global CONFIG_AHBRAM_ENABLE - if {($CONFIG_AHBRAM_ENABLE == 1)} then {configure_entry .menu17.config.f.x4 normal {x l}} else {configure_entry .menu17.config.f.x4 disabled {x l}} - global CONFIG_AHBRAM_START - if {($CONFIG_AHBRAM_ENABLE == 1)} then {.menu17.config.f.x5.x configure -state normal -foreground [ cget .ref -foreground ]; .menu17.config.f.x5.l configure -state normal; } else {.menu17.config.f.x5.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu17.config.f.x5.l configure -state disabled} -} - - -proc update_define_menu17 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_AHBROM_ENABLE - global CONFIG_AHBROM_START - if {($CONFIG_AHBROM_ENABLE == 1)} then {validate_hex CONFIG_AHBROM_START "$CONFIG_AHBROM_START" 000} - global CONFIG_AHBROM_PIPE - if {($CONFIG_AHBROM_ENABLE == 1)} then { - set CONFIG_AHBROM_PIPE [expr $CONFIG_AHBROM_PIPE&15]} else {set CONFIG_AHBROM_PIPE [expr $CONFIG_AHBROM_PIPE|16]} - global tmpvar_27 - global CONFIG_AHBRAM_SZ1 - if {$tmpvar_27 == "1"} then {set CONFIG_AHBRAM_SZ1 1} else {set CONFIG_AHBRAM_SZ1 0} - global CONFIG_AHBRAM_SZ2 - if {$tmpvar_27 == "2"} then {set CONFIG_AHBRAM_SZ2 1} else {set CONFIG_AHBRAM_SZ2 0} - global CONFIG_AHBRAM_SZ4 - if {$tmpvar_27 == "4"} then {set CONFIG_AHBRAM_SZ4 1} else {set CONFIG_AHBRAM_SZ4 0} - global CONFIG_AHBRAM_SZ8 - if {$tmpvar_27 == "8"} then {set CONFIG_AHBRAM_SZ8 1} else {set CONFIG_AHBRAM_SZ8 0} - global CONFIG_AHBRAM_SZ16 - if {$tmpvar_27 == "16"} then {set CONFIG_AHBRAM_SZ16 1} else {set CONFIG_AHBRAM_SZ16 0} - global CONFIG_AHBRAM_SZ32 - if {$tmpvar_27 == "32"} then {set CONFIG_AHBRAM_SZ32 1} else {set CONFIG_AHBRAM_SZ32 0} - global CONFIG_AHBRAM_SZ64 - if {$tmpvar_27 == "64"} then {set CONFIG_AHBRAM_SZ64 1} else {set CONFIG_AHBRAM_SZ64 0} - global CONFIG_AHBRAM_ENABLE - global CONFIG_AHBRAM_START - if {($CONFIG_AHBRAM_ENABLE == 1)} then {validate_hex CONFIG_AHBRAM_START "$CONFIG_AHBRAM_START" A00} -} - - -proc menu18 {w title} { - set oldFocus [focus] - catch {focus .menu13} - catch {destroy $w; unregister_active 18} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 18]] - message $w.m -width 400 -aspect 300 -text \ - "Ethernet " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Ethernet " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 18; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 18; menu19 .menu19 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 18" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 18; menu17 .menu17 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 18; menu17 .menu17 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 18 0 "Gaisler Research 10/100/1000 Mbit Ethernet MAC " CONFIG_GRETH_ENABLE - bool $w.config.f 18 1 "Enable 1000 Mbit support " CONFIG_GRETH_GIGA - global tmpvar_28 - minimenu $w.config.f 18 2 "AHB FIFO size (words) " tmpvar_28 CONFIG_GRETH_FIFO4 - menu $w.config.f.x2.x.menu -tearoffcommand "menutitle \"AHB FIFO size (words) \"" - $w.config.f.x2.x.menu add radiobutton -label "4" -variable tmpvar_28 -value "4" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "8" -variable tmpvar_28 -value "8" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "16" -variable tmpvar_28 -value "16" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "32" -variable tmpvar_28 -value "32" -command "update_active" - $w.config.f.x2.x.menu add radiobutton -label "64" -variable tmpvar_28 -value "64" -command "update_active" - menusplit $w $w.config.f.x2.x.menu 5 - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu13] == 0} then {menu13 .menu13 "Peripherals "} - set winx [expr [winfo x .menu13]+30]; set winy [expr [winfo y .menu13]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu18 {} { - global CONFIG_GRETH_ENABLE - global CONFIG_GRETH_GIGA - if {($CONFIG_GRETH_ENABLE == 1)} then { - configure_entry .menu18.config.f.x1 normal {n l y}} else {configure_entry .menu18.config.f.x1 disabled {y n l}} - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_GRETH_GIGA == 0)} then {configure_entry .menu18.config.f.x2 normal {x l}} else {configure_entry .menu18.config.f.x2 disabled {x l}} -} - - -proc update_define_menu18 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_GRETH_ENABLE - global CONFIG_GRETH_GIGA - if {($CONFIG_GRETH_ENABLE == 1)} then { - set CONFIG_GRETH_GIGA [expr $CONFIG_GRETH_GIGA&15]} else {set CONFIG_GRETH_GIGA [expr $CONFIG_GRETH_GIGA|16]} - global tmpvar_28 - global CONFIG_GRETH_FIFO4 - if {$tmpvar_28 == "4"} then {set CONFIG_GRETH_FIFO4 1} else {set CONFIG_GRETH_FIFO4 0} - global CONFIG_GRETH_FIFO8 - if {$tmpvar_28 == "8"} then {set CONFIG_GRETH_FIFO8 1} else {set CONFIG_GRETH_FIFO8 0} - global CONFIG_GRETH_FIFO16 - if {$tmpvar_28 == "16"} then {set CONFIG_GRETH_FIFO16 1} else {set CONFIG_GRETH_FIFO16 0} - global CONFIG_GRETH_FIFO32 - if {$tmpvar_28 == "32"} then {set CONFIG_GRETH_FIFO32 1} else {set CONFIG_GRETH_FIFO32 0} - global CONFIG_GRETH_FIFO64 - if {$tmpvar_28 == "64"} then {set CONFIG_GRETH_FIFO64 1} else {set CONFIG_GRETH_FIFO64 0} -} - - -proc menu19 {w title} { - set oldFocus [focus] - catch {focus .menu13} - catch {destroy $w; unregister_active 19} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 19]] - message $w.m -width 400 -aspect 300 -text \ - "UART, timer, I/O port and interrupt controller" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "UART, timer, I/O port and interrupt controller" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 19; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 19; menu20 .menu20 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 19" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 19; menu18 .menu18 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 19; menu18 .menu18 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 19 0 "Enable console UART " CONFIG_UART1_ENABLE - global tmpvar_29 - minimenu $w.config.f 19 1 "UART1 FIFO depth" tmpvar_29 CONFIG_UA1_FIFO1 - menu $w.config.f.x1.x.menu -tearoffcommand "menutitle \"UART1 FIFO depth\"" - $w.config.f.x1.x.menu add radiobutton -label "1" -variable tmpvar_29 -value "1" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "2" -variable tmpvar_29 -value "2" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "4" -variable tmpvar_29 -value "4" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "8" -variable tmpvar_29 -value "8" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "16" -variable tmpvar_29 -value "16" -command "update_active" - $w.config.f.x1.x.menu add radiobutton -label "32" -variable tmpvar_29 -value "32" -command "update_active" - menusplit $w $w.config.f.x1.x.menu 6 - bool $w.config.f 19 2 "Enable LEON3 interrupt controller " CONFIG_IRQ3_ENABLE - bool $w.config.f 19 3 "Enable secondary interrupts " CONFIG_IRQ3_SEC - int $w.config.f 19 4 "Secondary interrupt number (1 - 15) " CONFIG_IRQ3_NSEC - bool $w.config.f 19 5 "Enable Timer Unit " CONFIG_GPT_ENABLE - int $w.config.f 19 6 "Number of timers (1 - 7) " CONFIG_GPT_NTIM - int $w.config.f 19 7 "Scaler width (2 - 16) " CONFIG_GPT_SW - int $w.config.f 19 8 "Timer width (2 - 32) " CONFIG_GPT_TW - int $w.config.f 19 9 "Timer unit interrupt " CONFIG_GPT_IRQ - bool $w.config.f 19 10 "Separate interrupts " CONFIG_GPT_SEPIRQ - bool $w.config.f 19 11 "Watchdog enable " CONFIG_GPT_WDOGEN - hex $w.config.f 19 12 "Initial watchdog time-out value " CONFIG_GPT_WDOG - bool $w.config.f 19 13 "Enable generic GPIO port " CONFIG_GRGPIO_ENABLE - int $w.config.f 19 14 "GPIO width " CONFIG_GRGPIO_WIDTH - hex $w.config.f 19 15 "GPIO interrupt mask " CONFIG_GRGPIO_IMASK - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu13] == 0} then {menu13 .menu13 "Peripherals "} - set winx [expr [winfo x .menu13]+30]; set winy [expr [winfo y .menu13]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu19 {} { - global CONFIG_UART1_ENABLE - if {($CONFIG_UART1_ENABLE == 1)} then {configure_entry .menu19.config.f.x1 normal {x l}} else {configure_entry .menu19.config.f.x1 disabled {x l}} - global CONFIG_IRQ3_ENABLE - global CONFIG_IRQ3_SEC - if {($CONFIG_IRQ3_ENABLE == 1)} then { - configure_entry .menu19.config.f.x3 normal {n l y}} else {configure_entry .menu19.config.f.x3 disabled {y n l}} - global CONFIG_IRQ3_NSEC - if {($CONFIG_IRQ3_ENABLE == 1) && ($CONFIG_IRQ3_SEC == 1)} then {.menu19.config.f.x4.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x4.l configure -state normal; } else {.menu19.config.f.x4.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x4.l configure -state disabled} - global CONFIG_GPT_ENABLE - global CONFIG_GPT_NTIM - if {($CONFIG_GPT_ENABLE == 1)} then {.menu19.config.f.x6.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x6.l configure -state normal; } else {.menu19.config.f.x6.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x6.l configure -state disabled} - global CONFIG_GPT_SW - if {($CONFIG_GPT_ENABLE == 1)} then {.menu19.config.f.x7.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x7.l configure -state normal; } else {.menu19.config.f.x7.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x7.l configure -state disabled} - global CONFIG_GPT_TW - if {($CONFIG_GPT_ENABLE == 1)} then {.menu19.config.f.x8.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x8.l configure -state normal; } else {.menu19.config.f.x8.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x8.l configure -state disabled} - global CONFIG_GPT_IRQ - if {($CONFIG_GPT_ENABLE == 1)} then {.menu19.config.f.x9.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x9.l configure -state normal; } else {.menu19.config.f.x9.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x9.l configure -state disabled} - global CONFIG_GPT_SEPIRQ - if {($CONFIG_GPT_ENABLE == 1)} then { - configure_entry .menu19.config.f.x10 normal {n l y}} else {configure_entry .menu19.config.f.x10 disabled {y n l}} - global CONFIG_GPT_WDOGEN - if {($CONFIG_GPT_ENABLE == 1)} then { - configure_entry .menu19.config.f.x11 normal {n l y}} else {configure_entry .menu19.config.f.x11 disabled {y n l}} - global CONFIG_GPT_WDOG - if {($CONFIG_GPT_ENABLE == 1) && ($CONFIG_GPT_WDOGEN == 1)} then {.menu19.config.f.x12.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x12.l configure -state normal; } else {.menu19.config.f.x12.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x12.l configure -state disabled} - global CONFIG_GRGPIO_ENABLE - global CONFIG_GRGPIO_WIDTH - if {($CONFIG_GRGPIO_ENABLE == 1)} then {.menu19.config.f.x14.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x14.l configure -state normal; } else {.menu19.config.f.x14.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x14.l configure -state disabled} - global CONFIG_GRGPIO_IMASK - if {($CONFIG_GRGPIO_ENABLE == 1)} then {.menu19.config.f.x15.x configure -state normal -foreground [ cget .ref -foreground ]; .menu19.config.f.x15.l configure -state normal; } else {.menu19.config.f.x15.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu19.config.f.x15.l configure -state disabled} -} - - -proc update_define_menu19 {} { - update_define_mainmenu - global CONFIG_MODULES - global tmpvar_29 - global CONFIG_UA1_FIFO1 - if {$tmpvar_29 == "1"} then {set CONFIG_UA1_FIFO1 1} else {set CONFIG_UA1_FIFO1 0} - global CONFIG_UA1_FIFO2 - if {$tmpvar_29 == "2"} then {set CONFIG_UA1_FIFO2 1} else {set CONFIG_UA1_FIFO2 0} - global CONFIG_UA1_FIFO4 - if {$tmpvar_29 == "4"} then {set CONFIG_UA1_FIFO4 1} else {set CONFIG_UA1_FIFO4 0} - global CONFIG_UA1_FIFO8 - if {$tmpvar_29 == "8"} then {set CONFIG_UA1_FIFO8 1} else {set CONFIG_UA1_FIFO8 0} - global CONFIG_UA1_FIFO16 - if {$tmpvar_29 == "16"} then {set CONFIG_UA1_FIFO16 1} else {set CONFIG_UA1_FIFO16 0} - global CONFIG_UA1_FIFO32 - if {$tmpvar_29 == "32"} then {set CONFIG_UA1_FIFO32 1} else {set CONFIG_UA1_FIFO32 0} - global CONFIG_IRQ3_ENABLE - global CONFIG_IRQ3_SEC - if {($CONFIG_IRQ3_ENABLE == 1)} then { - set CONFIG_IRQ3_SEC [expr $CONFIG_IRQ3_SEC&15]} else {set CONFIG_IRQ3_SEC [expr $CONFIG_IRQ3_SEC|16]} - global CONFIG_IRQ3_NSEC - if {($CONFIG_IRQ3_ENABLE == 1) && ($CONFIG_IRQ3_SEC == 1)} then {validate_int CONFIG_IRQ3_NSEC "$CONFIG_IRQ3_NSEC" 12} - global CONFIG_GPT_ENABLE - global CONFIG_GPT_NTIM - if {($CONFIG_GPT_ENABLE == 1)} then {validate_int CONFIG_GPT_NTIM "$CONFIG_GPT_NTIM" 2} - global CONFIG_GPT_SW - if {($CONFIG_GPT_ENABLE == 1)} then {validate_int CONFIG_GPT_SW "$CONFIG_GPT_SW" 8} - global CONFIG_GPT_TW - if {($CONFIG_GPT_ENABLE == 1)} then {validate_int CONFIG_GPT_TW "$CONFIG_GPT_TW" 32} - global CONFIG_GPT_IRQ - if {($CONFIG_GPT_ENABLE == 1)} then {validate_int CONFIG_GPT_IRQ "$CONFIG_GPT_IRQ" 8} - global CONFIG_GPT_SEPIRQ - if {($CONFIG_GPT_ENABLE == 1)} then { - set CONFIG_GPT_SEPIRQ [expr $CONFIG_GPT_SEPIRQ&15]} else {set CONFIG_GPT_SEPIRQ [expr $CONFIG_GPT_SEPIRQ|16]} - global CONFIG_GPT_WDOGEN - if {($CONFIG_GPT_ENABLE == 1)} then { - set CONFIG_GPT_WDOGEN [expr $CONFIG_GPT_WDOGEN&15]} else {set CONFIG_GPT_WDOGEN [expr $CONFIG_GPT_WDOGEN|16]} - global CONFIG_GPT_WDOG - if {($CONFIG_GPT_ENABLE == 1) && ($CONFIG_GPT_WDOGEN == 1)} then {validate_hex CONFIG_GPT_WDOG "$CONFIG_GPT_WDOG" FFFF} - global CONFIG_GRGPIO_ENABLE - global CONFIG_GRGPIO_WIDTH - if {($CONFIG_GRGPIO_ENABLE == 1)} then {validate_int CONFIG_GRGPIO_WIDTH "$CONFIG_GRGPIO_WIDTH" 8} - global CONFIG_GRGPIO_IMASK - if {($CONFIG_GRGPIO_ENABLE == 1)} then {validate_hex CONFIG_GRGPIO_IMASK "$CONFIG_GRGPIO_IMASK" 0000} -} - - -proc menu20 {w title} { - set oldFocus [focus] - catch {focus .menu13} - catch {destroy $w; unregister_active 20} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 20]] - message $w.m -width 400 -aspect 300 -text \ - "Keybord and VGA interface" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "Keybord and VGA interface" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 20; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 20; menu21 .menu21 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 20" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 20; menu19 .menu19 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 20; menu19 .menu19 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 20 0 "Keyboard/mouse (PS2) interface " CONFIG_KBD_ENABLE - bool $w.config.f 20 1 "Text-based VGA interface " CONFIG_VGA_ENABLE - bool $w.config.f 20 2 "SVGA graphical frame buffer " CONFIG_SVGA_ENABLE - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu13] == 0} then {menu13 .menu13 "Peripherals "} - set winx [expr [winfo x .menu13]+30]; set winy [expr [winfo y .menu13]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu20 {} { - global CONFIG_VGA_ENABLE - global CONFIG_SVGA_ENABLE - if {($CONFIG_VGA_ENABLE == 0)} then { - configure_entry .menu20.config.f.x2 normal {n l y}} else {configure_entry .menu20.config.f.x2 disabled {y n l}} -} - - -proc update_define_menu20 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_VGA_ENABLE - global CONFIG_SVGA_ENABLE - if {($CONFIG_VGA_ENABLE == 0)} then { - set CONFIG_SVGA_ENABLE [expr $CONFIG_SVGA_ENABLE&15]} else {set CONFIG_SVGA_ENABLE [expr $CONFIG_SVGA_ENABLE|16]} -} - - -proc menu21 {w title} { - set oldFocus [focus] - catch {focus .menu13} - catch {destroy $w; unregister_active 21} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 21]] - message $w.m -width 400 -aspect 300 -text \ - "SPI" -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "SPI" - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 21; break" - set nextscript "catch {focus $oldFocus}; menu22 .menu22 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 21" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 21; menu20 .menu20 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 21; menu20 .menu20 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - submenu $w.config.f 21 0 "SPI memory controller " 22 - submenu $w.config.f 21 1 "SPI controller(s) " 23 - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu13] == 0} then {menu13 .menu13 "Peripherals "} - set winx [expr [winfo x .menu13]+30]; set winy [expr [winfo y .menu13]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu21 {} { -} - - -proc update_define_menu21 {} { - update_define_mainmenu - global CONFIG_MODULES -} - - -proc menu22 {w title} { - set oldFocus [focus] - catch {focus .menu21} - catch {destroy $w; unregister_active 22} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 22]] - message $w.m -width 400 -aspect 300 -text \ - "SPI memory controller " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "SPI memory controller " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 22; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 22; menu23 .menu23 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 22" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 22; menu21 .menu21 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 22; menu21 .menu21 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 22 0 "Enable SPI memory controller " CONFIG_SPIMCTRL - bool $w.config.f 22 1 "Enable SD card support " CONFIG_SPIMCTRL_SDCARD - hex $w.config.f 22 2 "Read instruction " CONFIG_SPIMCTRL_READCMD - bool $w.config.f 22 3 "Read instruction requires dummy byte " CONFIG_SPIMCTRL_DUMMYBYTE - bool $w.config.f 22 4 "Enable dual output for reads " CONFIG_SPIMCTRL_DUALOUTPUT - int $w.config.f 22 5 "Clock divisor for device clock" CONFIG_SPIMCTRL_SCALER - int $w.config.f 22 6 "Clock divisor for alt. device clock" CONFIG_SPIMCTRL_ASCALER - int $w.config.f 22 7 "Number of clock cycles to idle after power up " CONFIG_SPIMCTRL_PWRUPCNT - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu21] == 0} then {menu21 .menu21 "SPI"} - set winx [expr [winfo x .menu21]+30]; set winy [expr [winfo y .menu21]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu22 {} { - global CONFIG_SPIMCTRL - global CONFIG_SPIMCTRL_SDCARD - if {($CONFIG_SPIMCTRL == 1)} then { - configure_entry .menu22.config.f.x1 normal {n l y}} else {configure_entry .menu22.config.f.x1 disabled {y n l}} - global CONFIG_SPIMCTRL_READCMD - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then {.menu22.config.f.x2.x configure -state normal -foreground [ cget .ref -foreground ]; .menu22.config.f.x2.l configure -state normal; } else {.menu22.config.f.x2.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu22.config.f.x2.l configure -state disabled} - global CONFIG_SPIMCTRL_DUMMYBYTE - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then { - configure_entry .menu22.config.f.x3 normal {n l y}} else {configure_entry .menu22.config.f.x3 disabled {y n l}} - global CONFIG_SPIMCTRL_DUALOUTPUT - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then { - configure_entry .menu22.config.f.x4 normal {n l y}} else {configure_entry .menu22.config.f.x4 disabled {y n l}} - global CONFIG_SPIMCTRL_SCALER - if {($CONFIG_SPIMCTRL == 1)} then {.menu22.config.f.x5.x configure -state normal -foreground [ cget .ref -foreground ]; .menu22.config.f.x5.l configure -state normal; } else {.menu22.config.f.x5.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu22.config.f.x5.l configure -state disabled} - global CONFIG_SPIMCTRL_ASCALER - if {($CONFIG_SPIMCTRL == 1)} then {.menu22.config.f.x6.x configure -state normal -foreground [ cget .ref -foreground ]; .menu22.config.f.x6.l configure -state normal; } else {.menu22.config.f.x6.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu22.config.f.x6.l configure -state disabled} - global CONFIG_SPIMCTRL_PWRUPCNT - if {($CONFIG_SPIMCTRL == 1)} then {.menu22.config.f.x7.x configure -state normal -foreground [ cget .ref -foreground ]; .menu22.config.f.x7.l configure -state normal; } else {.menu22.config.f.x7.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu22.config.f.x7.l configure -state disabled} -} - - -proc update_define_menu22 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_SPIMCTRL - global CONFIG_SPIMCTRL_SDCARD - if {($CONFIG_SPIMCTRL == 1)} then { - set CONFIG_SPIMCTRL_SDCARD [expr $CONFIG_SPIMCTRL_SDCARD&15]} else {set CONFIG_SPIMCTRL_SDCARD [expr $CONFIG_SPIMCTRL_SDCARD|16]} - global CONFIG_SPIMCTRL_READCMD - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then {validate_hex CONFIG_SPIMCTRL_READCMD "$CONFIG_SPIMCTRL_READCMD" 0B} - global CONFIG_SPIMCTRL_DUMMYBYTE - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then { - set CONFIG_SPIMCTRL_DUMMYBYTE [expr $CONFIG_SPIMCTRL_DUMMYBYTE&15]} else {set CONFIG_SPIMCTRL_DUMMYBYTE [expr $CONFIG_SPIMCTRL_DUMMYBYTE|16]} - global CONFIG_SPIMCTRL_DUALOUTPUT - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then { - set CONFIG_SPIMCTRL_DUALOUTPUT [expr $CONFIG_SPIMCTRL_DUALOUTPUT&15]} else {set CONFIG_SPIMCTRL_DUALOUTPUT [expr $CONFIG_SPIMCTRL_DUALOUTPUT|16]} - global CONFIG_SPIMCTRL_SCALER - if {($CONFIG_SPIMCTRL == 1)} then {validate_int CONFIG_SPIMCTRL_SCALER "$CONFIG_SPIMCTRL_SCALER" 1} - global CONFIG_SPIMCTRL_ASCALER - if {($CONFIG_SPIMCTRL == 1)} then {validate_int CONFIG_SPIMCTRL_ASCALER "$CONFIG_SPIMCTRL_ASCALER" 8} - global CONFIG_SPIMCTRL_PWRUPCNT - if {($CONFIG_SPIMCTRL == 1)} then {validate_int CONFIG_SPIMCTRL_PWRUPCNT "$CONFIG_SPIMCTRL_PWRUPCNT" 0} -} - - -proc menu23 {w title} { - set oldFocus [focus] - catch {focus .menu21} - catch {destroy $w; unregister_active 23} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 23]] - message $w.m -width 400 -aspect 300 -text \ - "SPI controller(s) " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "SPI controller(s) " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 23; break" - set nextscript "catch {focus $oldFocus}; destroy $w; unregister_active 23; catch {destroy .menu21}; unregister_active 21; catch {destroy .menu13}; unregister_active 13; menu24 .menu24 \"$title\"" - frame $w.f - button $w.f.back -text "OK" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 23" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - bind all $nextscript - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 23; menu22 .menu22 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 23; menu22 .menu22 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 23 0 "Enable SPI controller(s) " CONFIG_SPICTRL_ENABLE - int $w.config.f 23 1 "Number of SPI controllers " CONFIG_SPICTRL_NUM - int $w.config.f 23 2 "Slave select lines " CONFIG_SPICTRL_SLVS - int $w.config.f 23 3 "FIFO depth (2^N) " CONFIG_SPICTRL_FIFO - bool $w.config.f 23 4 "Enable slave select registers" CONFIG_SPICTRL_SLVREG - bool $w.config.f 23 5 "Enable automatic slave select" CONFIG_SPICTRL_ASEL - bool $w.config.f 23 6 "Support automated transfers " CONFIG_SPICTRL_AM - bool $w.config.f 23 7 "Support open drain mode " CONFIG_SPICTRL_ODMODE - bool $w.config.f 23 8 "Support three-wire mode " CONFIG_SPICTRL_TWEN - int $w.config.f 23 9 "Maximum supported word length (see help!) " CONFIG_SPICTRL_MAXWLEN - bool $w.config.f 23 10 "Use SYNCRAM for rx and tx queues " CONFIG_SPICTRL_SYNCRAM - global tmpvar_30 - minimenu $w.config.f 23 11 "Fault-tolerance" tmpvar_30 CONFIG_SPICTRL_NOFT - menu $w.config.f.x11.x.menu -tearoffcommand "menutitle \"Fault-tolerance\"" - $w.config.f.x11.x.menu add radiobutton -label "None" -variable tmpvar_30 -value "None" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "Parity-DMR" -variable tmpvar_30 -value "Parity-DMR" -command "update_active" - $w.config.f.x11.x.menu add radiobutton -label "TMR" -variable tmpvar_30 -value "TMR" -command "update_active" - menusplit $w $w.config.f.x11.x.menu 3 - - - - focus $w - update_active - global winx; global winy - if {[winfo exists .menu21] == 0} then {menu21 .menu21 "SPI"} - set winx [expr [winfo x .menu21]+30]; set winy [expr [winfo y .menu21]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu23 {} { - global CONFIG_SPICTRL_ENABLE - global CONFIG_SPICTRL_NUM - if {($CONFIG_SPICTRL_ENABLE == 1)} then {.menu23.config.f.x1.x configure -state normal -foreground [ cget .ref -foreground ]; .menu23.config.f.x1.l configure -state normal; } else {.menu23.config.f.x1.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu23.config.f.x1.l configure -state disabled} - global CONFIG_SPICTRL_SLVS - if {($CONFIG_SPICTRL_ENABLE == 1)} then {.menu23.config.f.x2.x configure -state normal -foreground [ cget .ref -foreground ]; .menu23.config.f.x2.l configure -state normal; } else {.menu23.config.f.x2.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu23.config.f.x2.l configure -state disabled} - global CONFIG_SPICTRL_FIFO - if {($CONFIG_SPICTRL_ENABLE == 1)} then {.menu23.config.f.x3.x configure -state normal -foreground [ cget .ref -foreground ]; .menu23.config.f.x3.l configure -state normal; } else {.menu23.config.f.x3.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu23.config.f.x3.l configure -state disabled} - global CONFIG_SPICTRL_SLVREG - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - configure_entry .menu23.config.f.x4 normal {n l y}} else {configure_entry .menu23.config.f.x4 disabled {y n l}} - global CONFIG_SPICTRL_ASEL - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - configure_entry .menu23.config.f.x5 normal {n l y}} else {configure_entry .menu23.config.f.x5 disabled {y n l}} - global CONFIG_SPICTRL_AM - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - configure_entry .menu23.config.f.x6 normal {n l y}} else {configure_entry .menu23.config.f.x6 disabled {y n l}} - global CONFIG_SPICTRL_ODMODE - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - configure_entry .menu23.config.f.x7 normal {n l y}} else {configure_entry .menu23.config.f.x7 disabled {y n l}} - global CONFIG_SPICTRL_TWEN - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - configure_entry .menu23.config.f.x8 normal {n l y}} else {configure_entry .menu23.config.f.x8 disabled {y n l}} - global CONFIG_SPICTRL_MAXWLEN - if {($CONFIG_SPICTRL_ENABLE == 1)} then {.menu23.config.f.x9.x configure -state normal -foreground [ cget .ref -foreground ]; .menu23.config.f.x9.l configure -state normal; } else {.menu23.config.f.x9.x configure -state disabled -foreground [ cget .ref -disabledforeground ]; .menu23.config.f.x9.l configure -state disabled} - global CONFIG_SPICTRL_SYNCRAM - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - configure_entry .menu23.config.f.x10 normal {n l y}} else {configure_entry .menu23.config.f.x10 disabled {y n l}} - if {($CONFIG_SPICTRL_ENABLE == 1) && ($CONFIG_SPICTRL_SYNCRAM == 1)} then {configure_entry .menu23.config.f.x11 normal {x l}} else {configure_entry .menu23.config.f.x11 disabled {x l}} -} - - -proc update_define_menu23 {} { - update_define_mainmenu - global CONFIG_MODULES - global CONFIG_SPICTRL_ENABLE - global CONFIG_SPICTRL_NUM - if {($CONFIG_SPICTRL_ENABLE == 1)} then {validate_int CONFIG_SPICTRL_NUM "$CONFIG_SPICTRL_NUM" 1} - global CONFIG_SPICTRL_SLVS - if {($CONFIG_SPICTRL_ENABLE == 1)} then {validate_int CONFIG_SPICTRL_SLVS "$CONFIG_SPICTRL_SLVS" 1} - global CONFIG_SPICTRL_FIFO - if {($CONFIG_SPICTRL_ENABLE == 1)} then {validate_int CONFIG_SPICTRL_FIFO "$CONFIG_SPICTRL_FIFO" 1} - global CONFIG_SPICTRL_SLVREG - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - set CONFIG_SPICTRL_SLVREG [expr $CONFIG_SPICTRL_SLVREG&15]} else {set CONFIG_SPICTRL_SLVREG [expr $CONFIG_SPICTRL_SLVREG|16]} - global CONFIG_SPICTRL_ASEL - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - set CONFIG_SPICTRL_ASEL [expr $CONFIG_SPICTRL_ASEL&15]} else {set CONFIG_SPICTRL_ASEL [expr $CONFIG_SPICTRL_ASEL|16]} - global CONFIG_SPICTRL_AM - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - set CONFIG_SPICTRL_AM [expr $CONFIG_SPICTRL_AM&15]} else {set CONFIG_SPICTRL_AM [expr $CONFIG_SPICTRL_AM|16]} - global CONFIG_SPICTRL_ODMODE - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - set CONFIG_SPICTRL_ODMODE [expr $CONFIG_SPICTRL_ODMODE&15]} else {set CONFIG_SPICTRL_ODMODE [expr $CONFIG_SPICTRL_ODMODE|16]} - global CONFIG_SPICTRL_TWEN - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - set CONFIG_SPICTRL_TWEN [expr $CONFIG_SPICTRL_TWEN&15]} else {set CONFIG_SPICTRL_TWEN [expr $CONFIG_SPICTRL_TWEN|16]} - global CONFIG_SPICTRL_MAXWLEN - if {($CONFIG_SPICTRL_ENABLE == 1)} then {validate_int CONFIG_SPICTRL_MAXWLEN "$CONFIG_SPICTRL_MAXWLEN" 0} - global CONFIG_SPICTRL_SYNCRAM - if {($CONFIG_SPICTRL_ENABLE == 1)} then { - set CONFIG_SPICTRL_SYNCRAM [expr $CONFIG_SPICTRL_SYNCRAM&15]} else {set CONFIG_SPICTRL_SYNCRAM [expr $CONFIG_SPICTRL_SYNCRAM|16]} - global tmpvar_30 - global CONFIG_SPICTRL_NOFT - if {$tmpvar_30 == "None"} then {set CONFIG_SPICTRL_NOFT 1} else {set CONFIG_SPICTRL_NOFT 0} - global CONFIG_SPICTRL_DMRFT - if {$tmpvar_30 == "Parity-DMR"} then {set CONFIG_SPICTRL_DMRFT 1} else {set CONFIG_SPICTRL_DMRFT 0} - global CONFIG_SPICTRL_TMRFT - if {$tmpvar_30 == "TMR"} then {set CONFIG_SPICTRL_TMRFT 1} else {set CONFIG_SPICTRL_TMRFT 0} -} - - -menu_option menu24 24 "VHDL Debugging " -proc menu24 {w title} { - set oldFocus [focus] - catch {destroy $w; unregister_active 24} - toplevel $w -class Dialog - wm withdraw $w - global active_menus - set active_menus [lsort -integer [linsert $active_menus end 24]] - message $w.m -width 400 -aspect 300 -text \ - "VHDL Debugging " -relief raised - pack $w.m -pady 10 -side top -padx 10 - wm title $w "VHDL Debugging " - - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 24; break" - set nextscript "catch {focus $oldFocus}; menu25 .menu25 \"$title\"" - frame $w.f - button $w.f.back -text "Main Menu" \ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 24" - button $w.f.next -text "Next" -underline 0\ - -width 15 -command $nextscript - $w.f.next configure -state disabled - bind all "puts \"no more menus\" " - button $w.f.prev -text "Prev" -underline 0\ - -width 15 -command "catch {focus $oldFocus}; destroy $w; unregister_active 24; menu23 .menu23 \"$title\"" - bind $w "catch {focus $oldFocus}; destroy $w; unregister_active 24; menu23 .menu23 \"$title\";break" - pack $w.f.back $w.f.next $w.f.prev -side left -expand on - pack $w.f -pady 10 -side bottom -anchor w -fill x - frame $w.topline -relief ridge -borderwidth 2 -height 2 - pack $w.topline -side top -fill x - - frame $w.botline -relief ridge -borderwidth 2 -height 2 - pack $w.botline -side bottom -fill x - - frame $w.config - pack $w.config -fill y -expand on - - scrollbar $w.config.vscroll -command "$w.config.canvas yview" - pack $w.config.vscroll -side right -fill y - - canvas $w.config.canvas -height 1\ - -relief flat -borderwidth 0 -yscrollcommand "$w.config.vscroll set" \ - -width [expr [winfo screenwidth .] * 1 / 2] - frame $w.config.f - bind $w "$w.config.canvas yview scroll 1 unit;break;" - bind $w "$w.config.canvas yview scroll -1 unit;break;" - bind $w "$w.config.canvas yview scroll 1 page;break;" - bind $w "$w.config.canvas yview scroll -1 page;break;" - bind $w "$w.config.canvas yview moveto 0;break;" - bind $w "$w.config.canvas yview moveto 1 ;break;" - pack $w.config.canvas -side right -fill y - - - bool $w.config.f 24 0 "Accelerated UART tracing " CONFIG_DEBUG_UART - - - - focus $w - update_active - global winx; global winy - set winx [expr [winfo x .]+30]; set winy [expr [winfo y .]+30] - if {[winfo exists $w]} then {wm geometry $w +$winx+$winy} - update idletasks - if {[winfo exists $w]} then {$w.config.canvas create window 0 0 -anchor nw -window $w.config.f - - $w.config.canvas configure \ - -width [expr [winfo reqwidth $w.config.f] + 1]\ - -scrollregion "-1 -1 [expr [winfo reqwidth $w.config.f] + 1] \ - [expr [winfo reqheight $w.config.f] + 1]" - - set winy [expr [winfo reqh $w] - [winfo reqh $w.config.canvas]] - set scry [expr [winfo screenh $w] / 2] - set maxy [expr [winfo screenh $w] * 3 / 4] - set canvtotal [expr [winfo reqh $w.config.f] + 2] - if [expr $winy + $canvtotal < $maxy] { - $w.config.canvas configure -height $canvtotal - } else { - $w.config.canvas configure -height [expr $scry - $winy] - } - } - update idletasks - if {[winfo exists $w]} then { - wm maxsize $w [winfo width $w] [winfo screenheight $w] - wm minsize $w [winfo width $w] 100 - - wm deiconify $w -} -} - -proc update_menu24 {} { -} - - -proc update_define_menu24 {} { - update_define_mainmenu - global CONFIG_MODULES -} - - -proc update_mainmenu {} { -} - - -set tmpvar_0 "(not set)" -set CONFIG_SYN_INFERRED 0 -set CONFIG_SYN_STRATIX 0 -set CONFIG_SYN_STRATIXII 0 -set CONFIG_SYN_STRATIXIII 0 -set CONFIG_SYN_CYCLONEIII 0 -set CONFIG_SYN_ALTERA 0 -set CONFIG_SYN_AXCEL 0 -set CONFIG_SYN_AXDSP 0 -set CONFIG_SYN_PROASIC 0 -set CONFIG_SYN_PROASICPLUS 0 -set CONFIG_SYN_PROASIC3 0 -set CONFIG_SYN_PROASIC3E 0 -set CONFIG_SYN_PROASIC3L 0 -set CONFIG_SYN_IGLOO 0 -set CONFIG_SYN_FUSION 0 -set CONFIG_SYN_UT025CRH 0 -set CONFIG_SYN_UT130HBD 0 -set CONFIG_SYN_UT90NHBD 0 -set CONFIG_SYN_ATC18 0 -set CONFIG_SYN_ATC18RHA 0 -set CONFIG_SYN_CMOS9SF 0 -set CONFIG_SYN_CUSTOM1 0 -set CONFIG_SYN_EASIC90 0 -set CONFIG_SYN_EASIC45 0 -set CONFIG_SYN_IHP25 0 -set CONFIG_SYN_IHP25RH 0 -set CONFIG_SYN_LATTICE 0 -set CONFIG_SYN_ECLIPSE 0 -set CONFIG_SYN_PEREGRINE 0 -set CONFIG_SYN_RH_LIB18T 0 -set CONFIG_SYN_RHUMC 0 -set CONFIG_SYN_SMIC13 0 -set CONFIG_SYN_TM65GPLUS 0 -set CONFIG_SYN_TSMC90 0 -set CONFIG_SYN_UMC 0 -set CONFIG_SYN_SPARTAN2 0 -set CONFIG_SYN_SPARTAN3 0 -set CONFIG_SYN_SPARTAN3E 0 -set CONFIG_SYN_SPARTAN6 0 -set CONFIG_SYN_VIRTEX 0 -set CONFIG_SYN_VIRTEXE 0 -set CONFIG_SYN_VIRTEX2 0 -set CONFIG_SYN_VIRTEX4 0 -set CONFIG_SYN_VIRTEX5 0 -set CONFIG_SYN_VIRTEX6 0 -set tmpvar_1 "(not set)" -set CONFIG_MEM_INFERRED 0 -set CONFIG_MEM_UMC 0 -set CONFIG_MEM_RHUMC 0 -set CONFIG_MEM_ARTISAN 0 -set CONFIG_MEM_CUSTOM1 0 -set CONFIG_MEM_VIRAGE 0 -set CONFIG_MEM_VIRAGE90 0 -set CONFIG_SYN_INFER_RAM 0 -set CONFIG_SYN_INFER_PADS 0 -set CONFIG_SYN_NO_ASYNC 0 -set CONFIG_SYN_SCAN 0 -set tmpvar_2 "(not set)" -set CONFIG_CLK_INFERRED 0 -set CONFIG_CLK_HCLKBUF 0 -set CONFIG_CLK_UT130HBD 0 -set CONFIG_CLK_ALTDLL 0 -set CONFIG_CLK_LATDLL 0 -set CONFIG_CLK_PRO3PLL 0 -set CONFIG_CLK_PRO3EPLL 0 -set CONFIG_CLK_PRO3LPLL 0 -set CONFIG_CLK_FUSPLL 0 -set CONFIG_CLK_LIB18T 0 -set CONFIG_CLK_RHUMC 0 -set CONFIG_CLK_CLKDLL 0 -set CONFIG_CLK_DCM 0 -set CONFIG_CLK_MUL 2 -set CONFIG_CLK_DIV 2 -set CONFIG_OCLK_DIV 1 -set CONFIG_OCLKB_DIV 0 -set CONFIG_OCLKC_DIV 0 -set CONFIG_PCI_CLKDLL 0 -set CONFIG_CLK_NOFB 0 -set CONFIG_PCI_SYSCLK 0 -set CONFIG_LEON3 0 -set CONFIG_PROC_NUM 1 -set CONFIG_IU_NWINDOWS 8 -set CONFIG_IU_V8MULDIV 0 -set tmpvar_3 "(not set)" -set CONFIG_IU_MUL_LATENCY_2 0 -set CONFIG_IU_MUL_LATENCY_4 0 -set CONFIG_IU_MUL_LATENCY_5 0 -set CONFIG_IU_MUL_MAC 0 -set tmpvar_4 "(not set)" -set CONFIG_IU_MUL_INFERRED 0 -set CONFIG_IU_MUL_MODGEN 0 -set CONFIG_IU_MUL_TECHSPEC 0 -set CONFIG_IU_MUL_DW 0 -set CONFIG_IU_BP 0 -set CONFIG_IU_SVT 0 -set CONFIG_NOTAG 0 -set CONFIG_IU_LDELAY 1 -set CONFIG_IU_WATCHPOINTS 0 -set CONFIG_PWD 0 -set CONFIG_IU_RSTADDR 00000 -set CONFIG_FPU_ENABLE 0 -set tmpvar_5 "(not set)" -set CONFIG_FPU_GRFPU 0 -set CONFIG_FPU_GRFPULITE 0 -set CONFIG_FPU_MEIKO 0 -set tmpvar_6 "(not set)" -set CONFIG_FPU_GRFPU_INFMUL 0 -set CONFIG_FPU_GRFPU_DWMUL 0 -set CONFIG_FPU_GRFPU_MODGEN 0 -set CONFIG_FPU_GRFPU_TECHSPEC 0 -set CONFIG_FPU_GRFPU_SH 0 -set tmpvar_7 "(not set)" -set CONFIG_FPU_GRFPC0 0 -set CONFIG_FPU_GRFPC1 0 -set CONFIG_FPU_GRFPC2 0 -set CONFIG_FPU_NETLIST 0 -set CONFIG_ICACHE_ENABLE 0 -set tmpvar_8 "(not set)" -set CONFIG_ICACHE_ASSO1 0 -set CONFIG_ICACHE_ASSO2 0 -set CONFIG_ICACHE_ASSO3 0 -set CONFIG_ICACHE_ASSO4 0 -set tmpvar_9 "(not set)" -set CONFIG_ICACHE_SZ1 0 -set CONFIG_ICACHE_SZ2 0 -set CONFIG_ICACHE_SZ4 0 -set CONFIG_ICACHE_SZ8 0 -set CONFIG_ICACHE_SZ16 0 -set CONFIG_ICACHE_SZ32 0 -set CONFIG_ICACHE_SZ64 0 -set CONFIG_ICACHE_SZ128 0 -set CONFIG_ICACHE_SZ256 0 -set tmpvar_10 "(not set)" -set CONFIG_ICACHE_LZ16 0 -set CONFIG_ICACHE_LZ32 0 -set tmpvar_11 "(not set)" -set CONFIG_ICACHE_ALGORND 0 -set CONFIG_ICACHE_ALGODIR 0 -set CONFIG_ICACHE_ALGOLRR 0 -set CONFIG_ICACHE_ALGOLRU 0 -set CONFIG_ICACHE_LOCK 0 -set CONFIG_ICACHE_LRAM 0 -set tmpvar_12 "(not set)" -set CONFIG_ICACHE_LRAM_SZ1 0 -set CONFIG_ICACHE_LRAM_SZ2 0 -set CONFIG_ICACHE_LRAM_SZ4 0 -set CONFIG_ICACHE_LRAM_SZ8 0 -set CONFIG_ICACHE_LRAM_SZ16 0 -set CONFIG_ICACHE_LRAM_SZ32 0 -set CONFIG_ICACHE_LRAM_SZ64 0 -set CONFIG_ICACHE_LRAM_SZ128 0 -set CONFIG_ICACHE_LRAM_SZ256 0 -set CONFIG_ICACHE_LRSTART 8e -set CONFIG_DCACHE_ENABLE 0 -set tmpvar_13 "(not set)" -set CONFIG_DCACHE_ASSO1 0 -set CONFIG_DCACHE_ASSO2 0 -set CONFIG_DCACHE_ASSO3 0 -set CONFIG_DCACHE_ASSO4 0 -set tmpvar_14 "(not set)" -set CONFIG_DCACHE_SZ1 0 -set CONFIG_DCACHE_SZ2 0 -set CONFIG_DCACHE_SZ4 0 -set CONFIG_DCACHE_SZ8 0 -set CONFIG_DCACHE_SZ16 0 -set CONFIG_DCACHE_SZ32 0 -set CONFIG_DCACHE_SZ64 0 -set CONFIG_DCACHE_SZ128 0 -set CONFIG_DCACHE_SZ256 0 -set tmpvar_15 "(not set)" -set CONFIG_DCACHE_LZ16 0 -set CONFIG_DCACHE_LZ32 0 -set tmpvar_16 "(not set)" -set CONFIG_DCACHE_ALGORND 0 -set CONFIG_DCACHE_ALGODIR 0 -set CONFIG_DCACHE_ALGOLRR 0 -set CONFIG_DCACHE_ALGOLRU 0 -set CONFIG_DCACHE_LOCK 0 -set CONFIG_DCACHE_SNOOP 0 -set CONFIG_DCACHE_SNOOP_FAST 0 -set CONFIG_DCACHE_SNOOP_SEPTAG 0 -set CONFIG_CACHE_FIXED 0 -set CONFIG_DCACHE_LRAM 0 -set tmpvar_17 "(not set)" -set CONFIG_DCACHE_LRAM_SZ1 0 -set CONFIG_DCACHE_LRAM_SZ2 0 -set CONFIG_DCACHE_LRAM_SZ4 0 -set CONFIG_DCACHE_LRAM_SZ8 0 -set CONFIG_DCACHE_LRAM_SZ16 0 -set CONFIG_DCACHE_LRAM_SZ32 0 -set CONFIG_DCACHE_LRAM_SZ64 0 -set CONFIG_DCACHE_LRAM_SZ128 0 -set CONFIG_DCACHE_LRAM_SZ256 0 -set CONFIG_DCACHE_LRSTART 8f -set CONFIG_MMU_ENABLE 0 -set tmpvar_18 "(not set)" -set CONFIG_MMU_COMBINED 0 -set CONFIG_MMU_SPLIT 0 -set tmpvar_19 "(not set)" -set CONFIG_MMU_REPARRAY 0 -set CONFIG_MMU_REPINCREMENT 0 -set tmpvar_20 "(not set)" -set CONFIG_MMU_I2 0 -set CONFIG_MMU_I4 0 -set CONFIG_MMU_I8 0 -set CONFIG_MMU_I16 0 -set CONFIG_MMU_I32 0 -set tmpvar_21 "(not set)" -set CONFIG_MMU_D2 0 -set CONFIG_MMU_D4 0 -set CONFIG_MMU_D8 0 -set CONFIG_MMU_D16 0 -set CONFIG_MMU_D32 0 -set CONFIG_MMU_FASTWB 0 -set tmpvar_22 "(not set)" -set CONFIG_MMU_PAGE_4K 0 -set CONFIG_MMU_PAGE_8K 0 -set CONFIG_MMU_PAGE_16K 0 -set CONFIG_MMU_PAGE_32K 0 -set CONFIG_MMU_PAGE_PROG 0 -set CONFIG_DSU_ENABLE 0 -set CONFIG_DSU_ITRACE 0 -set tmpvar_23 "(not set)" -set CONFIG_DSU_ITRACESZ1 0 -set CONFIG_DSU_ITRACESZ2 0 -set CONFIG_DSU_ITRACESZ4 0 -set CONFIG_DSU_ITRACESZ8 0 -set CONFIG_DSU_ITRACESZ16 0 -set CONFIG_DSU_ATRACE 0 -set tmpvar_24 "(not set)" -set CONFIG_DSU_ATRACESZ1 0 -set CONFIG_DSU_ATRACESZ2 0 -set CONFIG_DSU_ATRACESZ4 0 -set CONFIG_DSU_ATRACESZ8 0 -set CONFIG_DSU_ATRACESZ16 0 -set CONFIG_LEON3FT_EN 0 -set tmpvar_25 "(not set)" -set CONFIG_IUFT_NONE 0 -set CONFIG_IUFT_PAR 0 -set CONFIG_IUFT_DMR 0 -set CONFIG_IUFT_BCH 0 -set CONFIG_IUFT_TMR 0 -set CONFIG_FPUFT_EN 0 -set CONFIG_RF_ERRINJ 0 -set CONFIG_CACHE_FT_EN 0 -set CONFIG_CACHE_ERRINJ 0 -set CONFIG_LEON3_NETLIST 0 -set CONFIG_IU_DISAS 0 -set CONFIG_IU_DISAS_NET 0 -set CONFIG_DEBUG_PC32 0 -set CONFIG_AHB_DEFMST 0 -set CONFIG_AHB_RROBIN 0 -set CONFIG_AHB_SPLIT 0 -set CONFIG_AHB_IOADDR FFF -set CONFIG_APB_HADDR 800 -set CONFIG_AHB_MON 0 -set CONFIG_AHB_MONERR 0 -set CONFIG_AHB_MONWAR 0 -set CONFIG_AHB_DTRACE 0 -set CONFIG_DSU_JTAG 0 -set CONFIG_DSU_ETH 0 -set tmpvar_26 "(not set)" -set CONFIG_DSU_ETHSZ1 0 -set CONFIG_DSU_ETHSZ2 0 -set CONFIG_DSU_ETHSZ4 0 -set CONFIG_DSU_ETHSZ8 0 -set CONFIG_DSU_ETHSZ16 0 -set CONFIG_DSU_IPMSB C0A8 -set CONFIG_DSU_IPLSB 0033 -set CONFIG_DSU_ETHMSB 020000 -set CONFIG_DSU_ETHLSB 000000 -set CONFIG_DSU_ETH_PROG 0 -set CONFIG_DSU_ETH_DIS 0 -set CONFIG_MCTRL_LEON2 0 -set CONFIG_MCTRL_8BIT 0 -set CONFIG_MCTRL_16BIT 0 -set CONFIG_MCTRL_5CS 0 -set CONFIG_MCTRL_SDRAM 0 -set CONFIG_MCTRL_SDRAM_SEPBUS 0 -set CONFIG_MCTRL_SDRAM_BUS64 0 -set CONFIG_MCTRL_SDRAM_INVCLK 0 -set CONFIG_MCTRL_PAGE 0 -set CONFIG_MCTRL_PROGPAGE 0 -set CONFIG_MIG_DDR2 0 -set CONFIG_MIG_RANKS 1 -set CONFIG_MIG_COLBITS 10 -set CONFIG_MIG_ROWBITS 13 -set CONFIG_MIG_BANKBITS 2 -set CONFIG_MIG_HMASK F00 -set CONFIG_AHBSTAT_ENABLE 0 -set CONFIG_AHBSTAT_NFTSLV 1 -set CONFIG_AHBROM_ENABLE 0 -set CONFIG_AHBROM_START 000 -set CONFIG_AHBROM_PIPE 0 -set CONFIG_AHBRAM_ENABLE 0 -set tmpvar_27 "(not set)" -set CONFIG_AHBRAM_SZ1 0 -set CONFIG_AHBRAM_SZ2 0 -set CONFIG_AHBRAM_SZ4 0 -set CONFIG_AHBRAM_SZ8 0 -set CONFIG_AHBRAM_SZ16 0 -set CONFIG_AHBRAM_SZ32 0 -set CONFIG_AHBRAM_SZ64 0 -set CONFIG_AHBRAM_START A00 -set CONFIG_GRETH_ENABLE 0 -set CONFIG_GRETH_GIGA 0 -set tmpvar_28 "(not set)" -set CONFIG_GRETH_FIFO4 0 -set CONFIG_GRETH_FIFO8 0 -set CONFIG_GRETH_FIFO16 0 -set CONFIG_GRETH_FIFO32 0 -set CONFIG_GRETH_FIFO64 0 -set CONFIG_UART1_ENABLE 0 -set tmpvar_29 "(not set)" -set CONFIG_UA1_FIFO1 0 -set CONFIG_UA1_FIFO2 0 -set CONFIG_UA1_FIFO4 0 -set CONFIG_UA1_FIFO8 0 -set CONFIG_UA1_FIFO16 0 -set CONFIG_UA1_FIFO32 0 -set CONFIG_IRQ3_ENABLE 0 -set CONFIG_IRQ3_SEC 0 -set CONFIG_IRQ3_NSEC 12 -set CONFIG_GPT_ENABLE 0 -set CONFIG_GPT_NTIM 2 -set CONFIG_GPT_SW 8 -set CONFIG_GPT_TW 32 -set CONFIG_GPT_IRQ 8 -set CONFIG_GPT_SEPIRQ 0 -set CONFIG_GPT_WDOGEN 0 -set CONFIG_GPT_WDOG FFFF -set CONFIG_GRGPIO_ENABLE 0 -set CONFIG_GRGPIO_WIDTH 8 -set CONFIG_GRGPIO_IMASK 0000 -set CONFIG_KBD_ENABLE 0 -set CONFIG_VGA_ENABLE 0 -set CONFIG_SVGA_ENABLE 0 -set CONFIG_SPIMCTRL 0 -set CONFIG_SPIMCTRL_SDCARD 0 -set CONFIG_SPIMCTRL_READCMD 0B -set CONFIG_SPIMCTRL_DUMMYBYTE 0 -set CONFIG_SPIMCTRL_DUALOUTPUT 0 -set CONFIG_SPIMCTRL_SCALER 1 -set CONFIG_SPIMCTRL_ASCALER 8 -set CONFIG_SPIMCTRL_PWRUPCNT 0 -set CONFIG_SPICTRL_ENABLE 0 -set CONFIG_SPICTRL_NUM 1 -set CONFIG_SPICTRL_SLVS 1 -set CONFIG_SPICTRL_FIFO 1 -set CONFIG_SPICTRL_SLVREG 0 -set CONFIG_SPICTRL_ASEL 0 -set CONFIG_SPICTRL_AM 0 -set CONFIG_SPICTRL_ODMODE 0 -set CONFIG_SPICTRL_TWEN 0 -set CONFIG_SPICTRL_MAXWLEN 0 -set CONFIG_SPICTRL_SYNCRAM 0 -set tmpvar_30 "(not set)" -set CONFIG_SPICTRL_NOFT 0 -set CONFIG_SPICTRL_DMRFT 0 -set CONFIG_SPICTRL_TMRFT 0 -set CONFIG_DEBUG_UART 0 -set CONFIG_LEON3FT_PRESENT 4 -set CONFIG_HAS_SHARED_GRFPU 4 -set CONFIG_SYN_ARTISAN 4 -set CONFIG_PCI_ENABLE 4 -set CONFIG_MODULES 4 -proc writeconfig {file1 file2} { - set cfg [open $file1 w] - set autocfg [open $file2 w] - set notmod 1 - set notset 0 - puts $cfg "#" - puts $cfg "# Automatically generated make config: don't edit" - puts $cfg "#" - puts $autocfg "/*" - puts $autocfg " * Automatically generated C config: don't edit" - puts $autocfg " */" - puts $autocfg "#define AUTOCONF_INCLUDED" - global CONFIG_LEON3FT_PRESENT - global CONSTANT_Y - write_tristate $cfg $autocfg CONFIG_LEON3FT_PRESENT $CONFIG_LEON3FT_PRESENT [list $notmod] 2 - global CONFIG_HAS_SHARED_GRFPU - write_tristate $cfg $autocfg CONFIG_HAS_SHARED_GRFPU $CONFIG_HAS_SHARED_GRFPU [list $notmod] 2 - write_comment $cfg $autocfg "Synthesis " - global tmpvar_0 - - if { $tmpvar_0 == "Inferred" } then { write_tristate $cfg $autocfg CONFIG_SYN_INFERRED 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_INFERRED 0 [list $notmod] 2 } - if { $tmpvar_0 == "Altera-Stratix" } then { write_tristate $cfg $autocfg CONFIG_SYN_STRATIX 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_STRATIX 0 [list $notmod] 2 } - if { $tmpvar_0 == "Altera-StratixII" } then { write_tristate $cfg $autocfg CONFIG_SYN_STRATIXII 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_STRATIXII 0 [list $notmod] 2 } - if { $tmpvar_0 == "Altera-StratixIII" } then { write_tristate $cfg $autocfg CONFIG_SYN_STRATIXIII 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_STRATIXIII 0 [list $notmod] 2 } - if { $tmpvar_0 == "Altera-CycloneIII" } then { write_tristate $cfg $autocfg CONFIG_SYN_CYCLONEIII 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_CYCLONEIII 0 [list $notmod] 2 } - if { $tmpvar_0 == "Altera-Others" } then { write_tristate $cfg $autocfg CONFIG_SYN_ALTERA 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_ALTERA 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-Axcelerator" } then { write_tristate $cfg $autocfg CONFIG_SYN_AXCEL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_AXCEL 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-Axcelerator-DSP" } then { write_tristate $cfg $autocfg CONFIG_SYN_AXDSP 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_AXDSP 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-Proasic" } then { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-ProasicPlus" } then { write_tristate $cfg $autocfg CONFIG_SYN_PROASICPLUS 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_PROASICPLUS 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-Proasic3" } then { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC3 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC3 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-Proasic3E" } then { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC3E 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC3E 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-Proasic3L" } then { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC3L 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_PROASIC3L 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-IGLOO/p/L" } then { write_tristate $cfg $autocfg CONFIG_SYN_IGLOO 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_IGLOO 0 [list $notmod] 2 } - if { $tmpvar_0 == "Actel-Fusion" } then { write_tristate $cfg $autocfg CONFIG_SYN_FUSION 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_FUSION 0 [list $notmod] 2 } - if { $tmpvar_0 == "Aeroflex-UT025CRH" } then { write_tristate $cfg $autocfg CONFIG_SYN_UT025CRH 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_UT025CRH 0 [list $notmod] 2 } - if { $tmpvar_0 == "Aeroflex-UT130HBD" } then { write_tristate $cfg $autocfg CONFIG_SYN_UT130HBD 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_UT130HBD 0 [list $notmod] 2 } - if { $tmpvar_0 == "Aeroflex-UT90NHBD" } then { write_tristate $cfg $autocfg CONFIG_SYN_UT90NHBD 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_UT90NHBD 0 [list $notmod] 2 } - if { $tmpvar_0 == "Atmel-ATC18" } then { write_tristate $cfg $autocfg CONFIG_SYN_ATC18 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_ATC18 0 [list $notmod] 2 } - if { $tmpvar_0 == "Atmel-ATC18RHA" } then { write_tristate $cfg $autocfg CONFIG_SYN_ATC18RHA 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_ATC18RHA 0 [list $notmod] 2 } - if { $tmpvar_0 == "IBM-CMOS9SF" } then { write_tristate $cfg $autocfg CONFIG_SYN_CMOS9SF 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_CMOS9SF 0 [list $notmod] 2 } - if { $tmpvar_0 == "Custom1" } then { write_tristate $cfg $autocfg CONFIG_SYN_CUSTOM1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_CUSTOM1 0 [list $notmod] 2 } - if { $tmpvar_0 == "eASIC90" } then { write_tristate $cfg $autocfg CONFIG_SYN_EASIC90 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_EASIC90 0 [list $notmod] 2 } - if { $tmpvar_0 == "eASIC45" } then { write_tristate $cfg $autocfg CONFIG_SYN_EASIC45 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_EASIC45 0 [list $notmod] 2 } - if { $tmpvar_0 == "IHP25" } then { write_tristate $cfg $autocfg CONFIG_SYN_IHP25 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_IHP25 0 [list $notmod] 2 } - if { $tmpvar_0 == "IHP25RH" } then { write_tristate $cfg $autocfg CONFIG_SYN_IHP25RH 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_IHP25RH 0 [list $notmod] 2 } - if { $tmpvar_0 == "Lattice-EC/ECP/XP" } then { write_tristate $cfg $autocfg CONFIG_SYN_LATTICE 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_LATTICE 0 [list $notmod] 2 } - if { $tmpvar_0 == "Quicklogic-Eclipse" } then { write_tristate $cfg $autocfg CONFIG_SYN_ECLIPSE 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_ECLIPSE 0 [list $notmod] 2 } - if { $tmpvar_0 == "Peregrine" } then { write_tristate $cfg $autocfg CONFIG_SYN_PEREGRINE 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_PEREGRINE 0 [list $notmod] 2 } - if { $tmpvar_0 == "RH-LIB18T" } then { write_tristate $cfg $autocfg CONFIG_SYN_RH_LIB18T 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_RH_LIB18T 0 [list $notmod] 2 } - if { $tmpvar_0 == "RH-UMC" } then { write_tristate $cfg $autocfg CONFIG_SYN_RHUMC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_RHUMC 0 [list $notmod] 2 } - if { $tmpvar_0 == "SMIC130" } then { write_tristate $cfg $autocfg CONFIG_SYN_SMIC13 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_SMIC13 0 [list $notmod] 2 } - if { $tmpvar_0 == "TM65Gplus" } then { write_tristate $cfg $autocfg CONFIG_SYN_TM65GPLUS 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_TM65GPLUS 0 [list $notmod] 2 } - if { $tmpvar_0 == "TSMC90" } then { write_tristate $cfg $autocfg CONFIG_SYN_TSMC90 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_TSMC90 0 [list $notmod] 2 } - if { $tmpvar_0 == "UMC180" } then { write_tristate $cfg $autocfg CONFIG_SYN_UMC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_UMC 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Spartan2" } then { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN2 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Spartan3" } then { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN3 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN3 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Spartan3E" } then { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN3E 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN3E 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Spartan6" } then { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN6 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_SPARTAN6 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Virtex" } then { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-VirtexE" } then { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEXE 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEXE 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Virtex2" } then { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX2 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Virtex4" } then { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX4 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Virtex5" } then { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX5 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX5 0 [list $notmod] 2 } - if { $tmpvar_0 == "Xilinx-Virtex6" } then { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX6 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SYN_VIRTEX6 0 [list $notmod] 2 } - global tmpvar_1 - global CONFIG_SYN_INFERRED - global CONFIG_SYN_CUSTOM1 - global CONFIG_SYN_ATC18 - global CONFIG_SYN_TSMC90 - global CONFIG_SYN_UMC - global CONFIG_SYN_RHUMC - global CONFIG_SYN_ARTISAN - if {($CONFIG_SYN_INFERRED == 1 || $CONFIG_SYN_CUSTOM1 == 1 || $CONFIG_SYN_ATC18 == 1 || $CONFIG_SYN_TSMC90 == 1 || $CONFIG_SYN_UMC == 1 || $CONFIG_SYN_RHUMC == 1 || $CONFIG_SYN_ARTISAN == 1)} then { - if { $tmpvar_1 == "Inferred" } then { write_tristate $cfg $autocfg CONFIG_MEM_INFERRED 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MEM_INFERRED 0 [list $notmod] 2 } - if { $tmpvar_1 == "UMC18" } then { write_tristate $cfg $autocfg CONFIG_MEM_UMC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MEM_UMC 0 [list $notmod] 2 } - if { $tmpvar_1 == "RH-UMC" } then { write_tristate $cfg $autocfg CONFIG_MEM_RHUMC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MEM_RHUMC 0 [list $notmod] 2 } - if { $tmpvar_1 == "Artisan" } then { write_tristate $cfg $autocfg CONFIG_MEM_ARTISAN 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MEM_ARTISAN 0 [list $notmod] 2 } - if { $tmpvar_1 == "Custom1" } then { write_tristate $cfg $autocfg CONFIG_MEM_CUSTOM1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MEM_CUSTOM1 0 [list $notmod] 2 } - if { $tmpvar_1 == "Virage" } then { write_tristate $cfg $autocfg CONFIG_MEM_VIRAGE 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MEM_VIRAGE 0 [list $notmod] 2 } - if { $tmpvar_1 == "Virage-TSMC90" } then { write_tristate $cfg $autocfg CONFIG_MEM_VIRAGE90 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MEM_VIRAGE90 0 [list $notmod] 2 }} - global CONFIG_SYN_INFER_RAM - if {($CONFIG_SYN_INFERRED != 1)} then {write_tristate $cfg $autocfg CONFIG_SYN_INFER_RAM $CONFIG_SYN_INFER_RAM [list $notmod] 2 } - global CONFIG_SYN_INFER_PADS - if {($CONFIG_SYN_INFERRED != 1)} then {write_tristate $cfg $autocfg CONFIG_SYN_INFER_PADS $CONFIG_SYN_INFER_PADS [list $notmod] 2 } - global CONFIG_SYN_NO_ASYNC - write_tristate $cfg $autocfg CONFIG_SYN_NO_ASYNC $CONFIG_SYN_NO_ASYNC [list $notmod] 2 - global CONFIG_SYN_SCAN - write_tristate $cfg $autocfg CONFIG_SYN_SCAN $CONFIG_SYN_SCAN [list $notmod] 2 - write_comment $cfg $autocfg "Clock generation" - global tmpvar_2 - - if { $tmpvar_2 == "Inferred" } then { write_tristate $cfg $autocfg CONFIG_CLK_INFERRED 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_INFERRED 0 [list $notmod] 2 } - if { $tmpvar_2 == "Actel-HCLKBUF" } then { write_tristate $cfg $autocfg CONFIG_CLK_HCLKBUF 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_HCLKBUF 0 [list $notmod] 2 } - if { $tmpvar_2 == "Aeroflex-UT130HBD" } then { write_tristate $cfg $autocfg CONFIG_CLK_UT130HBD 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_UT130HBD 0 [list $notmod] 2 } - if { $tmpvar_2 == "Altera-ALTPLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_ALTDLL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_ALTDLL 0 [list $notmod] 2 } - if { $tmpvar_2 == "Lattice-EXPLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_LATDLL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_LATDLL 0 [list $notmod] 2 } - if { $tmpvar_2 == "Proasic3-PLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_PRO3PLL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_PRO3PLL 0 [list $notmod] 2 } - if { $tmpvar_2 == "Proasic3E-PLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_PRO3EPLL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_PRO3EPLL 0 [list $notmod] 2 } - if { $tmpvar_2 == "Proasic3L-PLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_PRO3LPLL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_PRO3LPLL 0 [list $notmod] 2 } - if { $tmpvar_2 == "Fusion-PLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_FUSPLL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_FUSPLL 0 [list $notmod] 2 } - if { $tmpvar_2 == "RH-LIB18T-PLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_LIB18T 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_LIB18T 0 [list $notmod] 2 } - if { $tmpvar_2 == "DARE-PLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_RHUMC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_RHUMC 0 [list $notmod] 2 } - if { $tmpvar_2 == "Xilinx-CLKDLL" } then { write_tristate $cfg $autocfg CONFIG_CLK_CLKDLL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_CLKDLL 0 [list $notmod] 2 } - if { $tmpvar_2 == "Xilinx-DCM" } then { write_tristate $cfg $autocfg CONFIG_CLK_DCM 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_CLK_DCM 0 [list $notmod] 2 } - global CONFIG_CLK_MUL - global CONFIG_CLK_DCM - global CONFIG_CLK_ALTDLL - global CONFIG_CLK_LATDLL - global CONFIG_CLK_PRO3PLL - global CONFIG_CLK_PRO3EPLL - global CONFIG_CLK_PRO3LPLL - global CONFIG_CLK_CLKDLL - global CONFIG_CLK_LIB18T - global CONFIG_CLK_FUSPLL - if {($CONFIG_CLK_DCM == 1 || $CONFIG_CLK_ALTDLL == 1 || $CONFIG_CLK_LATDLL == 1 || $CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_LIB18T == 1 || $CONFIG_CLK_FUSPLL == 1)} then {write_int $cfg $autocfg CONFIG_CLK_MUL $CONFIG_CLK_MUL $notmod } - global CONFIG_CLK_DIV - if {($CONFIG_CLK_DCM == 1 || $CONFIG_CLK_ALTDLL == 1 || $CONFIG_CLK_LATDLL == 1 || $CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_LIB18T == 1 || $CONFIG_CLK_FUSPLL == 1)} then {write_int $cfg $autocfg CONFIG_CLK_DIV $CONFIG_CLK_DIV $notmod } - global CONFIG_OCLK_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {write_int $cfg $autocfg CONFIG_OCLK_DIV $CONFIG_OCLK_DIV $notmod } - global CONFIG_OCLKB_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {write_int $cfg $autocfg CONFIG_OCLKB_DIV $CONFIG_OCLKB_DIV $notmod } - global CONFIG_OCLKC_DIV - if {($CONFIG_CLK_PRO3PLL == 1 || $CONFIG_CLK_PRO3EPLL == 1 || $CONFIG_CLK_PRO3LPLL == 1 || $CONFIG_CLK_FUSPLL == 1)} then {write_int $cfg $autocfg CONFIG_OCLKC_DIV $CONFIG_OCLKC_DIV $notmod } - global CONFIG_PCI_CLKDLL - if {($CONFIG_CLK_CLKDLL == 1 || $CONFIG_CLK_DCM == 1)} then {write_tristate $cfg $autocfg CONFIG_PCI_CLKDLL $CONFIG_PCI_CLKDLL [list $notmod] 2 } - global CONFIG_CLK_NOFB - if {($CONFIG_CLK_DCM == 1)} then {write_tristate $cfg $autocfg CONFIG_CLK_NOFB $CONFIG_CLK_NOFB [list $notmod] 2 } - global CONFIG_PCI_SYSCLK - global CONFIG_PCI_ENABLE - if {($CONFIG_PCI_ENABLE != 1)} then {write_tristate $cfg $autocfg CONFIG_PCI_SYSCLK $CONFIG_PCI_SYSCLK [list $notmod] 2 } - global CONFIG_LEON3 - write_tristate $cfg $autocfg CONFIG_LEON3 $CONFIG_LEON3 [list $notmod] 2 - global CONFIG_PROC_NUM - if {($CONFIG_LEON3 == 1)} then {write_int $cfg $autocfg CONFIG_PROC_NUM $CONFIG_PROC_NUM $notmod } - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "Processor "} - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "Integer unit "} - global CONFIG_IU_NWINDOWS - if {($CONFIG_LEON3 == 1)} then {write_int $cfg $autocfg CONFIG_IU_NWINDOWS $CONFIG_IU_NWINDOWS $notmod } - global CONFIG_IU_V8MULDIV - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_IU_V8MULDIV $CONFIG_IU_V8MULDIV [list $notmod] 2 } - global tmpvar_3 - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_V8MULDIV == 1)} then { - if { $tmpvar_3 == "2-cycles" } then { write_tristate $cfg $autocfg CONFIG_IU_MUL_LATENCY_2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IU_MUL_LATENCY_2 0 [list $notmod] 2 } - if { $tmpvar_3 == "4-cycles" } then { write_tristate $cfg $autocfg CONFIG_IU_MUL_LATENCY_4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IU_MUL_LATENCY_4 0 [list $notmod] 2 } - if { $tmpvar_3 == "5-cycles" } then { write_tristate $cfg $autocfg CONFIG_IU_MUL_LATENCY_5 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IU_MUL_LATENCY_5 0 [list $notmod] 2 }} - global CONFIG_IU_MUL_MAC - global CONFIG_IU_MUL_LATENCY_4 - global CONFIG_IU_MUL_LATENCY_5 - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_V8MULDIV == 1) && ($CONFIG_IU_MUL_LATENCY_4 == 1 || $CONFIG_IU_MUL_LATENCY_5 == 1)} then {write_tristate $cfg $autocfg CONFIG_IU_MUL_MAC $CONFIG_IU_MUL_MAC [list $notmod] 2 } - global tmpvar_4 - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_V8MULDIV == 1)} then { - if { $tmpvar_4 == "Inferred" } then { write_tristate $cfg $autocfg CONFIG_IU_MUL_INFERRED 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IU_MUL_INFERRED 0 [list $notmod] 2 } - if { $tmpvar_4 == "NTNU_Modgen" } then { write_tristate $cfg $autocfg CONFIG_IU_MUL_MODGEN 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IU_MUL_MODGEN 0 [list $notmod] 2 } - if { $tmpvar_4 == "TechSpec" } then { write_tristate $cfg $autocfg CONFIG_IU_MUL_TECHSPEC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IU_MUL_TECHSPEC 0 [list $notmod] 2 } - if { $tmpvar_4 == "Designware" } then { write_tristate $cfg $autocfg CONFIG_IU_MUL_DW 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IU_MUL_DW 0 [list $notmod] 2 }} - global CONFIG_IU_BP - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_IU_BP $CONFIG_IU_BP [list $notmod] 2 } - global CONFIG_IU_SVT - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_IU_SVT $CONFIG_IU_SVT [list $notmod] 2 } - global CONFIG_NOTAG - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_NOTAG $CONFIG_NOTAG [list $notmod] 2 } - global CONFIG_IU_LDELAY - if {($CONFIG_LEON3 == 1)} then {write_int $cfg $autocfg CONFIG_IU_LDELAY $CONFIG_IU_LDELAY $notmod } - global CONFIG_IU_WATCHPOINTS - if {($CONFIG_LEON3 == 1)} then {write_int $cfg $autocfg CONFIG_IU_WATCHPOINTS $CONFIG_IU_WATCHPOINTS $notmod } - global CONFIG_PWD - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_PWD $CONFIG_PWD [list $notmod] 2 } - global CONFIG_IU_RSTADDR - if {($CONFIG_LEON3 == 1)} then {write_hex $cfg $autocfg CONFIG_IU_RSTADDR $CONFIG_IU_RSTADDR $notmod } - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "Floating-point unit"} - global CONFIG_FPU_ENABLE - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_FPU_ENABLE $CONFIG_FPU_ENABLE [list $notmod] 2 } - global tmpvar_5 - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1)} then { - if { $tmpvar_5 == "GRFPU" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU 0 [list $notmod] 2 } - if { $tmpvar_5 == "GRFPU-LITE" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPULITE 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPULITE 0 [list $notmod] 2 } - if { $tmpvar_5 == "Meiko" } then { write_tristate $cfg $autocfg CONFIG_FPU_MEIKO 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_MEIKO 0 [list $notmod] 2 }} - global tmpvar_6 - global CONFIG_FPU_GRFPU - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1) && ($CONFIG_FPU_GRFPU == 1)} then { - if { $tmpvar_6 == "Inferred" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_INFMUL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_INFMUL 0 [list $notmod] 2 } - if { $tmpvar_6 == "DW" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_DWMUL 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_DWMUL 0 [list $notmod] 2 } - if { $tmpvar_6 == "ModGen" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_MODGEN 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_MODGEN 0 [list $notmod] 2 } - if { $tmpvar_6 == "TechSpec" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_TECHSPEC 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_TECHSPEC 0 [list $notmod] 2 }} - global CONFIG_FPU_GRFPU_SH - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1) && ($CONFIG_HAS_SHARED_GRFPU == 1 && $CONFIG_FPU_GRFPU == 1)} then {write_tristate $cfg $autocfg CONFIG_FPU_GRFPU_SH $CONFIG_FPU_GRFPU_SH [list $notmod] 2 } - global tmpvar_7 - global CONFIG_FPU_GRFPULITE - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1) && ($CONFIG_FPU_GRFPULITE == 1)} then { - if { $tmpvar_7 == "Simple" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPC0 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPC0 0 [list $notmod] 2 } - if { $tmpvar_7 == "Data-forwarding" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPC1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPC1 0 [list $notmod] 2 } - if { $tmpvar_7 == "Non-blocking" } then { write_tristate $cfg $autocfg CONFIG_FPU_GRFPC2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_FPU_GRFPC2 0 [list $notmod] 2 }} - global CONFIG_FPU_NETLIST - if {($CONFIG_LEON3 == 1) && ($CONFIG_FPU_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_FPU_NETLIST $CONFIG_FPU_NETLIST [list $notmod] 2 } - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "Cache system"} - global CONFIG_ICACHE_ENABLE - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_ICACHE_ENABLE $CONFIG_ICACHE_ENABLE [list $notmod] 2 } - global tmpvar_8 - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1)} then { - if { $tmpvar_8 == "1" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO1 0 [list $notmod] 2 } - if { $tmpvar_8 == "2" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO2 0 [list $notmod] 2 } - if { $tmpvar_8 == "3" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO3 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO3 0 [list $notmod] 2 } - if { $tmpvar_8 == "4" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ASSO4 0 [list $notmod] 2 }} - global tmpvar_9 - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1)} then { - if { $tmpvar_9 == "1" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ1 0 [list $notmod] 2 } - if { $tmpvar_9 == "2" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ2 0 [list $notmod] 2 } - if { $tmpvar_9 == "4" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ4 0 [list $notmod] 2 } - if { $tmpvar_9 == "8" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ8 0 [list $notmod] 2 } - if { $tmpvar_9 == "16" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ16 0 [list $notmod] 2 } - if { $tmpvar_9 == "32" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ32 0 [list $notmod] 2 } - if { $tmpvar_9 == "64" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ64 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ64 0 [list $notmod] 2 } - if { $tmpvar_9 == "128" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ128 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ128 0 [list $notmod] 2 } - if { $tmpvar_9 == "256" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ256 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_SZ256 0 [list $notmod] 2 }} - global tmpvar_10 - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1)} then { - if { $tmpvar_10 == "16" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LZ16 0 [list $notmod] 2 } - if { $tmpvar_10 == "32" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LZ32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LZ32 0 [list $notmod] 2 }} - global tmpvar_11 - global CONFIG_ICACHE_ASSO1 - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1) && ($CONFIG_ICACHE_ASSO1 != 1)} then { - if { $tmpvar_11 == "Random" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGORND 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGORND 0 [list $notmod] 2 } - if { $tmpvar_11 == "Direct" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGODIR 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGODIR 0 [list $notmod] 2 } - if { $tmpvar_11 == "LRR" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGOLRR 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGOLRR 0 [list $notmod] 2 } - if { $tmpvar_11 == "LRU" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGOLRU 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_ALGOLRU 0 [list $notmod] 2 }} - global CONFIG_ICACHE_LOCK - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1) && ($CONFIG_ICACHE_ASSO1 != 1)} then {write_tristate $cfg $autocfg CONFIG_ICACHE_LOCK $CONFIG_ICACHE_LOCK [list $notmod] 2 } - global CONFIG_ICACHE_LRAM - global CONFIG_MMU_ENABLE - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE != 1)} then {write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM $CONFIG_ICACHE_LRAM [list $notmod] 2 } - global tmpvar_12 - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_LRAM == 1)} then { - if { $tmpvar_12 == "1" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ1 0 [list $notmod] 2 } - if { $tmpvar_12 == "2" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ2 0 [list $notmod] 2 } - if { $tmpvar_12 == "4" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ4 0 [list $notmod] 2 } - if { $tmpvar_12 == "8" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ8 0 [list $notmod] 2 } - if { $tmpvar_12 == "16" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ16 0 [list $notmod] 2 } - if { $tmpvar_12 == "32" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ32 0 [list $notmod] 2 } - if { $tmpvar_12 == "64" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ64 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ64 0 [list $notmod] 2 } - if { $tmpvar_12 == "128" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ128 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ128 0 [list $notmod] 2 } - if { $tmpvar_12 == "256" } then { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ256 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_ICACHE_LRAM_SZ256 0 [list $notmod] 2 }} - global CONFIG_ICACHE_LRSTART - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_LRAM == 1)} then {write_hex $cfg $autocfg CONFIG_ICACHE_LRSTART $CONFIG_ICACHE_LRSTART $notmod } - global CONFIG_DCACHE_ENABLE - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_DCACHE_ENABLE $CONFIG_DCACHE_ENABLE [list $notmod] 2 } - global tmpvar_13 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then { - if { $tmpvar_13 == "1" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO1 0 [list $notmod] 2 } - if { $tmpvar_13 == "2" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO2 0 [list $notmod] 2 } - if { $tmpvar_13 == "3" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO3 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO3 0 [list $notmod] 2 } - if { $tmpvar_13 == "4" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ASSO4 0 [list $notmod] 2 }} - global tmpvar_14 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then { - if { $tmpvar_14 == "1" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ1 0 [list $notmod] 2 } - if { $tmpvar_14 == "2" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ2 0 [list $notmod] 2 } - if { $tmpvar_14 == "4" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ4 0 [list $notmod] 2 } - if { $tmpvar_14 == "8" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ8 0 [list $notmod] 2 } - if { $tmpvar_14 == "16" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ16 0 [list $notmod] 2 } - if { $tmpvar_14 == "32" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ32 0 [list $notmod] 2 } - if { $tmpvar_14 == "64" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ64 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ64 0 [list $notmod] 2 } - if { $tmpvar_14 == "128" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ128 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ128 0 [list $notmod] 2 } - if { $tmpvar_14 == "256" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ256 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_SZ256 0 [list $notmod] 2 }} - global tmpvar_15 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then { - if { $tmpvar_15 == "16" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LZ16 0 [list $notmod] 2 } - if { $tmpvar_15 == "32" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LZ32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LZ32 0 [list $notmod] 2 }} - global tmpvar_16 - global CONFIG_DCACHE_ASSO1 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_ASSO1 != 1)} then { - if { $tmpvar_16 == "Random" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGORND 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGORND 0 [list $notmod] 2 } - if { $tmpvar_16 == "Direct" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGODIR 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGODIR 0 [list $notmod] 2 } - if { $tmpvar_16 == "LRR" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGOLRR 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGOLRR 0 [list $notmod] 2 } - if { $tmpvar_16 == "LRU" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGOLRU 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_ALGOLRU 0 [list $notmod] 2 }} - global CONFIG_DCACHE_LOCK - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_ASSO1 != 1)} then {write_tristate $cfg $autocfg CONFIG_DCACHE_LOCK $CONFIG_DCACHE_LOCK [list $notmod] 2 } - global CONFIG_DCACHE_SNOOP - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_DCACHE_SNOOP $CONFIG_DCACHE_SNOOP [list $notmod] 2 } - global CONFIG_DCACHE_SNOOP_FAST - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_SNOOP == 1)} then {write_tristate $cfg $autocfg CONFIG_DCACHE_SNOOP_FAST $CONFIG_DCACHE_SNOOP_FAST [list $notmod] 2 } - global CONFIG_DCACHE_SNOOP_SEPTAG - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_ENABLE == 1) && ($CONFIG_DCACHE_SNOOP == 1)} then {write_tristate $cfg $autocfg CONFIG_DCACHE_SNOOP_SEPTAG $CONFIG_DCACHE_SNOOP_SEPTAG [list $notmod] 2 } - global CONFIG_CACHE_FIXED - if {($CONFIG_LEON3 == 1) && ($CONFIG_ICACHE_ENABLE == 1 || $CONFIG_DCACHE_ENABLE == 1)} then {write_hex $cfg $autocfg CONFIG_CACHE_FIXED $CONFIG_CACHE_FIXED $notmod } - global CONFIG_DCACHE_LRAM - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE != 1)} then {write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM $CONFIG_DCACHE_LRAM [list $notmod] 2 } - global tmpvar_17 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_LRAM == 1)} then { - if { $tmpvar_17 == "1" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ1 0 [list $notmod] 2 } - if { $tmpvar_17 == "2" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ2 0 [list $notmod] 2 } - if { $tmpvar_17 == "4" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ4 0 [list $notmod] 2 } - if { $tmpvar_17 == "8" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ8 0 [list $notmod] 2 } - if { $tmpvar_17 == "16" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ16 0 [list $notmod] 2 } - if { $tmpvar_17 == "32" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ32 0 [list $notmod] 2 } - if { $tmpvar_17 == "64" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ64 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ64 0 [list $notmod] 2 } - if { $tmpvar_17 == "128" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ128 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ128 0 [list $notmod] 2 } - if { $tmpvar_17 == "256" } then { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ256 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DCACHE_LRAM_SZ256 0 [list $notmod] 2 }} - global CONFIG_DCACHE_LRSTART - if {($CONFIG_LEON3 == 1) && ($CONFIG_DCACHE_LRAM == 1)} then {write_hex $cfg $autocfg CONFIG_DCACHE_LRSTART $CONFIG_DCACHE_LRSTART $notmod } - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "MMU"} - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_MMU_ENABLE $CONFIG_MMU_ENABLE [list $notmod] 2 } - global tmpvar_18 - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then { - if { $tmpvar_18 == "combined" } then { write_tristate $cfg $autocfg CONFIG_MMU_COMBINED 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_COMBINED 0 [list $notmod] 2 } - if { $tmpvar_18 == "split" } then { write_tristate $cfg $autocfg CONFIG_MMU_SPLIT 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_SPLIT 0 [list $notmod] 2 }} - global tmpvar_19 - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then { - if { $tmpvar_19 == "LRU" } then { write_tristate $cfg $autocfg CONFIG_MMU_REPARRAY 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_REPARRAY 0 [list $notmod] 2 } - if { $tmpvar_19 == "Increment" } then { write_tristate $cfg $autocfg CONFIG_MMU_REPINCREMENT 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_REPINCREMENT 0 [list $notmod] 2 }} - global tmpvar_20 - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then { - if { $tmpvar_20 == "2" } then { write_tristate $cfg $autocfg CONFIG_MMU_I2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_I2 0 [list $notmod] 2 } - if { $tmpvar_20 == "4" } then { write_tristate $cfg $autocfg CONFIG_MMU_I4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_I4 0 [list $notmod] 2 } - if { $tmpvar_20 == "8" } then { write_tristate $cfg $autocfg CONFIG_MMU_I8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_I8 0 [list $notmod] 2 } - if { $tmpvar_20 == "16" } then { write_tristate $cfg $autocfg CONFIG_MMU_I16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_I16 0 [list $notmod] 2 } - if { $tmpvar_20 == "32" } then { write_tristate $cfg $autocfg CONFIG_MMU_I32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_I32 0 [list $notmod] 2 }} - global tmpvar_21 - global CONFIG_MMU_SPLIT - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1) && ($CONFIG_MMU_SPLIT == 1)} then { - if { $tmpvar_21 == "2" } then { write_tristate $cfg $autocfg CONFIG_MMU_D2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_D2 0 [list $notmod] 2 } - if { $tmpvar_21 == "4" } then { write_tristate $cfg $autocfg CONFIG_MMU_D4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_D4 0 [list $notmod] 2 } - if { $tmpvar_21 == "8" } then { write_tristate $cfg $autocfg CONFIG_MMU_D8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_D8 0 [list $notmod] 2 } - if { $tmpvar_21 == "16" } then { write_tristate $cfg $autocfg CONFIG_MMU_D16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_D16 0 [list $notmod] 2 } - if { $tmpvar_21 == "32" } then { write_tristate $cfg $autocfg CONFIG_MMU_D32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_D32 0 [list $notmod] 2 }} - global CONFIG_MMU_FASTWB - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1) && ($CONFIG_MMU_SPLIT == 1)} then {write_tristate $cfg $autocfg CONFIG_MMU_FASTWB $CONFIG_MMU_FASTWB [list $notmod] 2 } - global tmpvar_22 - if {($CONFIG_LEON3 == 1) && ($CONFIG_MMU_ENABLE == 1)} then { - if { $tmpvar_22 == "4K" } then { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_4K 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_4K 0 [list $notmod] 2 } - if { $tmpvar_22 == "8K" } then { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_8K 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_8K 0 [list $notmod] 2 } - if { $tmpvar_22 == "16K" } then { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_16K 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_16K 0 [list $notmod] 2 } - if { $tmpvar_22 == "32K" } then { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_32K 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_32K 0 [list $notmod] 2 } - if { $tmpvar_22 == "Programmable" } then { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_PROG 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_MMU_PAGE_PROG 0 [list $notmod] 2 }} - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "Debug Support Unit "} - global CONFIG_DSU_ENABLE - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_DSU_ENABLE $CONFIG_DSU_ENABLE [list $notmod] 2 } - global CONFIG_DSU_ITRACE - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_DSU_ITRACE $CONFIG_DSU_ITRACE [list $notmod] 2 } - global tmpvar_23 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1) && ($CONFIG_DSU_ITRACE == 1)} then { - if { $tmpvar_23 == "1" } then { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ1 0 [list $notmod] 2 } - if { $tmpvar_23 == "2" } then { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ2 0 [list $notmod] 2 } - if { $tmpvar_23 == "4" } then { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ4 0 [list $notmod] 2 } - if { $tmpvar_23 == "8" } then { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ8 0 [list $notmod] 2 } - if { $tmpvar_23 == "16" } then { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ITRACESZ16 0 [list $notmod] 2 }} - global CONFIG_DSU_ATRACE - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_DSU_ATRACE $CONFIG_DSU_ATRACE [list $notmod] 2 } - global tmpvar_24 - if {($CONFIG_LEON3 == 1) && ($CONFIG_DSU_ENABLE == 1) && ($CONFIG_DSU_ATRACE == 1)} then { - if { $tmpvar_24 == "1" } then { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ1 0 [list $notmod] 2 } - if { $tmpvar_24 == "2" } then { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ2 0 [list $notmod] 2 } - if { $tmpvar_24 == "4" } then { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ4 0 [list $notmod] 2 } - if { $tmpvar_24 == "8" } then { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ8 0 [list $notmod] 2 } - if { $tmpvar_24 == "16" } then { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ATRACESZ16 0 [list $notmod] 2 }} - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "Fault-tolerance "} - global CONFIG_LEON3FT_EN - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_LEON3FT_EN $CONFIG_LEON3FT_EN [list $notmod] 2 } - global tmpvar_25 - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then { - if { $tmpvar_25 == "None" } then { write_tristate $cfg $autocfg CONFIG_IUFT_NONE 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IUFT_NONE 0 [list $notmod] 2 } - if { $tmpvar_25 == "Parity" } then { write_tristate $cfg $autocfg CONFIG_IUFT_PAR 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IUFT_PAR 0 [list $notmod] 2 } - if { $tmpvar_25 == "PDMR" } then { write_tristate $cfg $autocfg CONFIG_IUFT_DMR 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IUFT_DMR 0 [list $notmod] 2 } - if { $tmpvar_25 == "BCH" } then { write_tristate $cfg $autocfg CONFIG_IUFT_BCH 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IUFT_BCH 0 [list $notmod] 2 } - if { $tmpvar_25 == "TMR" } then { write_tristate $cfg $autocfg CONFIG_IUFT_TMR 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_IUFT_TMR 0 [list $notmod] 2 }} - global CONFIG_FPUFT_EN - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1) && ($CONFIG_FPU_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_FPUFT_EN $CONFIG_FPUFT_EN [list $notmod] 2 } - global CONFIG_RF_ERRINJ - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then {write_tristate $cfg $autocfg CONFIG_RF_ERRINJ $CONFIG_RF_ERRINJ [list $notmod] 2 } - global CONFIG_CACHE_FT_EN - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then {write_tristate $cfg $autocfg CONFIG_CACHE_FT_EN $CONFIG_CACHE_FT_EN [list $notmod] 2 } - global CONFIG_CACHE_ERRINJ - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then {write_int $cfg $autocfg CONFIG_CACHE_ERRINJ $CONFIG_CACHE_ERRINJ $notmod } - global CONFIG_LEON3_NETLIST - if {($CONFIG_LEON3 == 1) && ($CONFIG_LEON3FT_EN == 1)} then {write_tristate $cfg $autocfg CONFIG_LEON3_NETLIST $CONFIG_LEON3_NETLIST [list $notmod] 2 } - if {($CONFIG_LEON3 == 1)} then {write_comment $cfg $autocfg "VHDL debug settings "} - global CONFIG_IU_DISAS - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_IU_DISAS $CONFIG_IU_DISAS [list $notmod] 2 } - global CONFIG_IU_DISAS_NET - if {($CONFIG_LEON3 == 1) && ($CONFIG_IU_DISAS == 1)} then {write_tristate $cfg $autocfg CONFIG_IU_DISAS_NET $CONFIG_IU_DISAS_NET [list $notmod] 2 } - global CONFIG_DEBUG_PC32 - if {($CONFIG_LEON3 == 1)} then {write_tristate $cfg $autocfg CONFIG_DEBUG_PC32 $CONFIG_DEBUG_PC32 [list $notmod] 2 } - write_comment $cfg $autocfg "AMBA configuration" - global CONFIG_AHB_DEFMST - write_int $cfg $autocfg CONFIG_AHB_DEFMST $CONFIG_AHB_DEFMST $notmod - global CONFIG_AHB_RROBIN - write_tristate $cfg $autocfg CONFIG_AHB_RROBIN $CONFIG_AHB_RROBIN [list $notmod] 2 - global CONFIG_AHB_SPLIT - write_tristate $cfg $autocfg CONFIG_AHB_SPLIT $CONFIG_AHB_SPLIT [list $notmod] 2 - global CONFIG_AHB_IOADDR - write_hex $cfg $autocfg CONFIG_AHB_IOADDR $CONFIG_AHB_IOADDR $notmod - global CONFIG_APB_HADDR - write_hex $cfg $autocfg CONFIG_APB_HADDR $CONFIG_APB_HADDR $notmod - global CONFIG_AHB_MON - write_tristate $cfg $autocfg CONFIG_AHB_MON $CONFIG_AHB_MON [list $notmod] 2 - global CONFIG_AHB_MONERR - if {($CONFIG_AHB_MON == 1)} then {write_tristate $cfg $autocfg CONFIG_AHB_MONERR $CONFIG_AHB_MONERR [list $notmod] 2 } - global CONFIG_AHB_MONWAR - if {($CONFIG_AHB_MON == 1)} then {write_tristate $cfg $autocfg CONFIG_AHB_MONWAR $CONFIG_AHB_MONWAR [list $notmod] 2 } - global CONFIG_AHB_DTRACE - write_tristate $cfg $autocfg CONFIG_AHB_DTRACE $CONFIG_AHB_DTRACE [list $notmod] 2 - write_comment $cfg $autocfg "Debug Link " - global CONFIG_DSU_JTAG - write_tristate $cfg $autocfg CONFIG_DSU_JTAG $CONFIG_DSU_JTAG [list $notmod] 2 - global CONFIG_DSU_ETH - global CONFIG_GRETH_ENABLE - if {($CONFIG_GRETH_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_DSU_ETH $CONFIG_DSU_ETH [list $notmod] 2 } - global tmpvar_26 - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then { - if { $tmpvar_26 == "1" } then { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ1 0 [list $notmod] 2 } - if { $tmpvar_26 == "2" } then { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ2 0 [list $notmod] 2 } - if { $tmpvar_26 == "4" } then { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ4 0 [list $notmod] 2 } - if { $tmpvar_26 == "8" } then { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ8 0 [list $notmod] 2 } - if { $tmpvar_26 == "16" } then { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_DSU_ETHSZ16 0 [list $notmod] 2 }} - global CONFIG_DSU_IPMSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {write_hex $cfg $autocfg CONFIG_DSU_IPMSB $CONFIG_DSU_IPMSB $notmod } - global CONFIG_DSU_IPLSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {write_hex $cfg $autocfg CONFIG_DSU_IPLSB $CONFIG_DSU_IPLSB $notmod } - global CONFIG_DSU_ETHMSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {write_hex $cfg $autocfg CONFIG_DSU_ETHMSB $CONFIG_DSU_ETHMSB $notmod } - global CONFIG_DSU_ETHLSB - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1)} then {write_hex $cfg $autocfg CONFIG_DSU_ETHLSB $CONFIG_DSU_ETHLSB $notmod } - global CONFIG_DSU_ETH_PROG - global CONFIG_GRETH_GIGA - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1) && ($CONFIG_GRETH_GIGA == 0)} then {write_tristate $cfg $autocfg CONFIG_DSU_ETH_PROG $CONFIG_DSU_ETH_PROG [list $notmod] 2 } - global CONFIG_DSU_ETH_DIS - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_DSU_ETH == 1) && ($CONFIG_GRETH_GIGA == 0)} then {write_tristate $cfg $autocfg CONFIG_DSU_ETH_DIS $CONFIG_DSU_ETH_DIS [list $notmod] 2 } - write_comment $cfg $autocfg "Peripherals " - write_comment $cfg $autocfg "Memory controller " - write_comment $cfg $autocfg "Leon2 memory controller " - global CONFIG_MCTRL_LEON2 - write_tristate $cfg $autocfg CONFIG_MCTRL_LEON2 $CONFIG_MCTRL_LEON2 [list $notmod] 2 - global CONFIG_MCTRL_8BIT - if {($CONFIG_MCTRL_LEON2 == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_8BIT $CONFIG_MCTRL_8BIT [list $notmod] 2 } - global CONFIG_MCTRL_16BIT - if {($CONFIG_MCTRL_LEON2 == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_16BIT $CONFIG_MCTRL_16BIT [list $notmod] 2 } - global CONFIG_MCTRL_5CS - if {($CONFIG_MCTRL_LEON2 == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_5CS $CONFIG_MCTRL_5CS [list $notmod] 2 } - global CONFIG_MCTRL_SDRAM - if {($CONFIG_MCTRL_LEON2 == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_SDRAM $CONFIG_MCTRL_SDRAM [list $notmod] 2 } - global CONFIG_MCTRL_SDRAM_SEPBUS - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_SDRAM_SEPBUS $CONFIG_MCTRL_SDRAM_SEPBUS [list $notmod] 2 } - global CONFIG_MCTRL_SDRAM_BUS64 - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_SDRAM_SEPBUS == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_SDRAM_BUS64 $CONFIG_MCTRL_SDRAM_BUS64 [list $notmod] 2 } - global CONFIG_MCTRL_SDRAM_INVCLK - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_SDRAM_SEPBUS == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_SDRAM_INVCLK $CONFIG_MCTRL_SDRAM_INVCLK [list $notmod] 2 } - global CONFIG_MCTRL_PAGE - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_PAGE $CONFIG_MCTRL_PAGE [list $notmod] 2 } - global CONFIG_MCTRL_PROGPAGE - if {($CONFIG_MCTRL_LEON2 == 1) && ($CONFIG_MCTRL_SDRAM == 1) && ($CONFIG_MCTRL_PAGE == 1)} then {write_tristate $cfg $autocfg CONFIG_MCTRL_PROGPAGE $CONFIG_MCTRL_PROGPAGE [list $notmod] 2 } - write_comment $cfg $autocfg "MIG memory controller " - global CONFIG_MIG_DDR2 - write_tristate $cfg $autocfg CONFIG_MIG_DDR2 $CONFIG_MIG_DDR2 [list $notmod] 2 - global CONFIG_MIG_RANKS - if {($CONFIG_MIG_DDR2 == 1)} then {write_int $cfg $autocfg CONFIG_MIG_RANKS $CONFIG_MIG_RANKS $notmod } - global CONFIG_MIG_COLBITS - if {($CONFIG_MIG_DDR2 == 1)} then {write_int $cfg $autocfg CONFIG_MIG_COLBITS $CONFIG_MIG_COLBITS $notmod } - global CONFIG_MIG_ROWBITS - if {($CONFIG_MIG_DDR2 == 1)} then {write_int $cfg $autocfg CONFIG_MIG_ROWBITS $CONFIG_MIG_ROWBITS $notmod } - global CONFIG_MIG_BANKBITS - if {($CONFIG_MIG_DDR2 == 1)} then {write_int $cfg $autocfg CONFIG_MIG_BANKBITS $CONFIG_MIG_BANKBITS $notmod } - global CONFIG_MIG_HMASK - if {($CONFIG_MIG_DDR2 == 1)} then {write_hex $cfg $autocfg CONFIG_MIG_HMASK $CONFIG_MIG_HMASK $notmod } - global CONFIG_AHBSTAT_ENABLE - write_tristate $cfg $autocfg CONFIG_AHBSTAT_ENABLE $CONFIG_AHBSTAT_ENABLE [list $notmod] 2 - global CONFIG_AHBSTAT_NFTSLV - if {($CONFIG_AHBSTAT_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_AHBSTAT_NFTSLV $CONFIG_AHBSTAT_NFTSLV $notmod } - write_comment $cfg $autocfg "On-chip RAM/ROM " - global CONFIG_AHBROM_ENABLE - write_tristate $cfg $autocfg CONFIG_AHBROM_ENABLE $CONFIG_AHBROM_ENABLE [list $notmod] 2 - global CONFIG_AHBROM_START - if {($CONFIG_AHBROM_ENABLE == 1)} then {write_hex $cfg $autocfg CONFIG_AHBROM_START $CONFIG_AHBROM_START $notmod } - global CONFIG_AHBROM_PIPE - if {($CONFIG_AHBROM_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_AHBROM_PIPE $CONFIG_AHBROM_PIPE [list $notmod] 2 } - global CONFIG_AHBRAM_ENABLE - write_tristate $cfg $autocfg CONFIG_AHBRAM_ENABLE $CONFIG_AHBRAM_ENABLE [list $notmod] 2 - global tmpvar_27 - if {($CONFIG_AHBRAM_ENABLE == 1)} then { - if { $tmpvar_27 == "1" } then { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ1 0 [list $notmod] 2 } - if { $tmpvar_27 == "2" } then { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ2 0 [list $notmod] 2 } - if { $tmpvar_27 == "4" } then { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ4 0 [list $notmod] 2 } - if { $tmpvar_27 == "8" } then { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ8 0 [list $notmod] 2 } - if { $tmpvar_27 == "16" } then { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ16 0 [list $notmod] 2 } - if { $tmpvar_27 == "32" } then { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ32 0 [list $notmod] 2 } - if { $tmpvar_27 == "64" } then { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ64 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_AHBRAM_SZ64 0 [list $notmod] 2 }} - global CONFIG_AHBRAM_START - if {($CONFIG_AHBRAM_ENABLE == 1)} then {write_hex $cfg $autocfg CONFIG_AHBRAM_START $CONFIG_AHBRAM_START $notmod } - write_comment $cfg $autocfg "Ethernet " - write_tristate $cfg $autocfg CONFIG_GRETH_ENABLE $CONFIG_GRETH_ENABLE [list $notmod] 2 - if {($CONFIG_GRETH_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_GRETH_GIGA $CONFIG_GRETH_GIGA [list $notmod] 2 } - global tmpvar_28 - if {($CONFIG_GRETH_ENABLE == 1) && ($CONFIG_GRETH_GIGA == 0)} then { - if { $tmpvar_28 == "4" } then { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO4 0 [list $notmod] 2 } - if { $tmpvar_28 == "8" } then { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO8 0 [list $notmod] 2 } - if { $tmpvar_28 == "16" } then { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO16 0 [list $notmod] 2 } - if { $tmpvar_28 == "32" } then { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO32 0 [list $notmod] 2 } - if { $tmpvar_28 == "64" } then { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO64 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_GRETH_FIFO64 0 [list $notmod] 2 }} - write_comment $cfg $autocfg "UART, timer, I/O port and interrupt controller" - global CONFIG_UART1_ENABLE - write_tristate $cfg $autocfg CONFIG_UART1_ENABLE $CONFIG_UART1_ENABLE [list $notmod] 2 - global tmpvar_29 - if {($CONFIG_UART1_ENABLE == 1)} then { - if { $tmpvar_29 == "1" } then { write_tristate $cfg $autocfg CONFIG_UA1_FIFO1 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_UA1_FIFO1 0 [list $notmod] 2 } - if { $tmpvar_29 == "2" } then { write_tristate $cfg $autocfg CONFIG_UA1_FIFO2 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_UA1_FIFO2 0 [list $notmod] 2 } - if { $tmpvar_29 == "4" } then { write_tristate $cfg $autocfg CONFIG_UA1_FIFO4 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_UA1_FIFO4 0 [list $notmod] 2 } - if { $tmpvar_29 == "8" } then { write_tristate $cfg $autocfg CONFIG_UA1_FIFO8 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_UA1_FIFO8 0 [list $notmod] 2 } - if { $tmpvar_29 == "16" } then { write_tristate $cfg $autocfg CONFIG_UA1_FIFO16 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_UA1_FIFO16 0 [list $notmod] 2 } - if { $tmpvar_29 == "32" } then { write_tristate $cfg $autocfg CONFIG_UA1_FIFO32 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_UA1_FIFO32 0 [list $notmod] 2 }} - global CONFIG_IRQ3_ENABLE - write_tristate $cfg $autocfg CONFIG_IRQ3_ENABLE $CONFIG_IRQ3_ENABLE [list $notmod] 2 - global CONFIG_IRQ3_SEC - if {($CONFIG_IRQ3_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_IRQ3_SEC $CONFIG_IRQ3_SEC [list $notmod] 2 } - global CONFIG_IRQ3_NSEC - if {($CONFIG_IRQ3_ENABLE == 1) && ($CONFIG_IRQ3_SEC == 1)} then {write_int $cfg $autocfg CONFIG_IRQ3_NSEC $CONFIG_IRQ3_NSEC $notmod } - global CONFIG_GPT_ENABLE - write_tristate $cfg $autocfg CONFIG_GPT_ENABLE $CONFIG_GPT_ENABLE [list $notmod] 2 - global CONFIG_GPT_NTIM - if {($CONFIG_GPT_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_GPT_NTIM $CONFIG_GPT_NTIM $notmod } - global CONFIG_GPT_SW - if {($CONFIG_GPT_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_GPT_SW $CONFIG_GPT_SW $notmod } - global CONFIG_GPT_TW - if {($CONFIG_GPT_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_GPT_TW $CONFIG_GPT_TW $notmod } - global CONFIG_GPT_IRQ - if {($CONFIG_GPT_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_GPT_IRQ $CONFIG_GPT_IRQ $notmod } - global CONFIG_GPT_SEPIRQ - if {($CONFIG_GPT_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_GPT_SEPIRQ $CONFIG_GPT_SEPIRQ [list $notmod] 2 } - global CONFIG_GPT_WDOGEN - if {($CONFIG_GPT_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_GPT_WDOGEN $CONFIG_GPT_WDOGEN [list $notmod] 2 } - global CONFIG_GPT_WDOG - if {($CONFIG_GPT_ENABLE == 1) && ($CONFIG_GPT_WDOGEN == 1)} then {write_hex $cfg $autocfg CONFIG_GPT_WDOG $CONFIG_GPT_WDOG $notmod } - global CONFIG_GRGPIO_ENABLE - write_tristate $cfg $autocfg CONFIG_GRGPIO_ENABLE $CONFIG_GRGPIO_ENABLE [list $notmod] 2 - global CONFIG_GRGPIO_WIDTH - if {($CONFIG_GRGPIO_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_GRGPIO_WIDTH $CONFIG_GRGPIO_WIDTH $notmod } - global CONFIG_GRGPIO_IMASK - if {($CONFIG_GRGPIO_ENABLE == 1)} then {write_hex $cfg $autocfg CONFIG_GRGPIO_IMASK $CONFIG_GRGPIO_IMASK $notmod } - write_comment $cfg $autocfg "Keybord and VGA interface" - global CONFIG_KBD_ENABLE - write_tristate $cfg $autocfg CONFIG_KBD_ENABLE $CONFIG_KBD_ENABLE [list $notmod] 2 - global CONFIG_VGA_ENABLE - write_tristate $cfg $autocfg CONFIG_VGA_ENABLE $CONFIG_VGA_ENABLE [list $notmod] 2 - global CONFIG_SVGA_ENABLE - if {($CONFIG_VGA_ENABLE == 0)} then {write_tristate $cfg $autocfg CONFIG_SVGA_ENABLE $CONFIG_SVGA_ENABLE [list $notmod] 2 } - write_comment $cfg $autocfg "SPI" - write_comment $cfg $autocfg "SPI memory controller " - global CONFIG_SPIMCTRL - write_tristate $cfg $autocfg CONFIG_SPIMCTRL $CONFIG_SPIMCTRL [list $notmod] 2 - global CONFIG_SPIMCTRL_SDCARD - if {($CONFIG_SPIMCTRL == 1)} then {write_tristate $cfg $autocfg CONFIG_SPIMCTRL_SDCARD $CONFIG_SPIMCTRL_SDCARD [list $notmod] 2 } - global CONFIG_SPIMCTRL_READCMD - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then {write_hex $cfg $autocfg CONFIG_SPIMCTRL_READCMD $CONFIG_SPIMCTRL_READCMD $notmod } - global CONFIG_SPIMCTRL_DUMMYBYTE - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then {write_tristate $cfg $autocfg CONFIG_SPIMCTRL_DUMMYBYTE $CONFIG_SPIMCTRL_DUMMYBYTE [list $notmod] 2 } - global CONFIG_SPIMCTRL_DUALOUTPUT - if {($CONFIG_SPIMCTRL == 1) && ($CONFIG_SPIMCTRL_SDCARD != 1)} then {write_tristate $cfg $autocfg CONFIG_SPIMCTRL_DUALOUTPUT $CONFIG_SPIMCTRL_DUALOUTPUT [list $notmod] 2 } - global CONFIG_SPIMCTRL_SCALER - if {($CONFIG_SPIMCTRL == 1)} then {write_int $cfg $autocfg CONFIG_SPIMCTRL_SCALER $CONFIG_SPIMCTRL_SCALER $notmod } - global CONFIG_SPIMCTRL_ASCALER - if {($CONFIG_SPIMCTRL == 1)} then {write_int $cfg $autocfg CONFIG_SPIMCTRL_ASCALER $CONFIG_SPIMCTRL_ASCALER $notmod } - global CONFIG_SPIMCTRL_PWRUPCNT - if {($CONFIG_SPIMCTRL == 1)} then {write_int $cfg $autocfg CONFIG_SPIMCTRL_PWRUPCNT $CONFIG_SPIMCTRL_PWRUPCNT $notmod } - write_comment $cfg $autocfg "SPI controller(s) " - global CONFIG_SPICTRL_ENABLE - write_tristate $cfg $autocfg CONFIG_SPICTRL_ENABLE $CONFIG_SPICTRL_ENABLE [list $notmod] 2 - global CONFIG_SPICTRL_NUM - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_SPICTRL_NUM $CONFIG_SPICTRL_NUM $notmod } - global CONFIG_SPICTRL_SLVS - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_SPICTRL_SLVS $CONFIG_SPICTRL_SLVS $notmod } - global CONFIG_SPICTRL_FIFO - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_SPICTRL_FIFO $CONFIG_SPICTRL_FIFO $notmod } - global CONFIG_SPICTRL_SLVREG - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_SPICTRL_SLVREG $CONFIG_SPICTRL_SLVREG [list $notmod] 2 } - global CONFIG_SPICTRL_ASEL - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_SPICTRL_ASEL $CONFIG_SPICTRL_ASEL [list $notmod] 2 } - global CONFIG_SPICTRL_AM - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_SPICTRL_AM $CONFIG_SPICTRL_AM [list $notmod] 2 } - global CONFIG_SPICTRL_ODMODE - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_SPICTRL_ODMODE $CONFIG_SPICTRL_ODMODE [list $notmod] 2 } - global CONFIG_SPICTRL_TWEN - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_SPICTRL_TWEN $CONFIG_SPICTRL_TWEN [list $notmod] 2 } - global CONFIG_SPICTRL_MAXWLEN - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_int $cfg $autocfg CONFIG_SPICTRL_MAXWLEN $CONFIG_SPICTRL_MAXWLEN $notmod } - global CONFIG_SPICTRL_SYNCRAM - if {($CONFIG_SPICTRL_ENABLE == 1)} then {write_tristate $cfg $autocfg CONFIG_SPICTRL_SYNCRAM $CONFIG_SPICTRL_SYNCRAM [list $notmod] 2 } - global tmpvar_30 - if {($CONFIG_SPICTRL_ENABLE == 1) && ($CONFIG_SPICTRL_SYNCRAM == 1)} then { - if { $tmpvar_30 == "None" } then { write_tristate $cfg $autocfg CONFIG_SPICTRL_NOFT 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SPICTRL_NOFT 0 [list $notmod] 2 } - if { $tmpvar_30 == "Parity-DMR" } then { write_tristate $cfg $autocfg CONFIG_SPICTRL_DMRFT 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SPICTRL_DMRFT 0 [list $notmod] 2 } - if { $tmpvar_30 == "TMR" } then { write_tristate $cfg $autocfg CONFIG_SPICTRL_TMRFT 1 [list $notmod] 2 } else { write_tristate $cfg $autocfg CONFIG_SPICTRL_TMRFT 0 [list $notmod] 2 }} - write_comment $cfg $autocfg "VHDL Debugging " - global CONFIG_DEBUG_UART - write_tristate $cfg $autocfg CONFIG_DEBUG_UART $CONFIG_DEBUG_UART [list $notmod] 2 - close $cfg - close $autocfg -} - - -proc clear_choices { } { - global CONFIG_SYN_INFERRED; set CONFIG_SYN_INFERRED 0 - global CONFIG_SYN_STRATIX; set CONFIG_SYN_STRATIX 0 - global CONFIG_SYN_STRATIXII; set CONFIG_SYN_STRATIXII 0 - global CONFIG_SYN_STRATIXIII; set CONFIG_SYN_STRATIXIII 0 - global CONFIG_SYN_CYCLONEIII; set CONFIG_SYN_CYCLONEIII 0 - global CONFIG_SYN_ALTERA; set CONFIG_SYN_ALTERA 0 - global CONFIG_SYN_AXCEL; set CONFIG_SYN_AXCEL 0 - global CONFIG_SYN_AXDSP; set CONFIG_SYN_AXDSP 0 - global CONFIG_SYN_PROASIC; set CONFIG_SYN_PROASIC 0 - global CONFIG_SYN_PROASICPLUS; set CONFIG_SYN_PROASICPLUS 0 - global CONFIG_SYN_PROASIC3; set CONFIG_SYN_PROASIC3 0 - global CONFIG_SYN_PROASIC3E; set CONFIG_SYN_PROASIC3E 0 - global CONFIG_SYN_PROASIC3L; set CONFIG_SYN_PROASIC3L 0 - global CONFIG_SYN_IGLOO; set CONFIG_SYN_IGLOO 0 - global CONFIG_SYN_FUSION; set CONFIG_SYN_FUSION 0 - global CONFIG_SYN_UT025CRH; set CONFIG_SYN_UT025CRH 0 - global CONFIG_SYN_UT130HBD; set CONFIG_SYN_UT130HBD 0 - global CONFIG_SYN_UT90NHBD; set CONFIG_SYN_UT90NHBD 0 - global CONFIG_SYN_ATC18; set CONFIG_SYN_ATC18 0 - global CONFIG_SYN_ATC18RHA; set CONFIG_SYN_ATC18RHA 0 - global CONFIG_SYN_CMOS9SF; set CONFIG_SYN_CMOS9SF 0 - global CONFIG_SYN_CUSTOM1; set CONFIG_SYN_CUSTOM1 0 - global CONFIG_SYN_EASIC90; set CONFIG_SYN_EASIC90 0 - global CONFIG_SYN_EASIC45; set CONFIG_SYN_EASIC45 0 - global CONFIG_SYN_IHP25; set CONFIG_SYN_IHP25 0 - global CONFIG_SYN_IHP25RH; set CONFIG_SYN_IHP25RH 0 - global CONFIG_SYN_LATTICE; set CONFIG_SYN_LATTICE 0 - global CONFIG_SYN_ECLIPSE; set CONFIG_SYN_ECLIPSE 0 - global CONFIG_SYN_PEREGRINE; set CONFIG_SYN_PEREGRINE 0 - global CONFIG_SYN_RH_LIB18T; set CONFIG_SYN_RH_LIB18T 0 - global CONFIG_SYN_RHUMC; set CONFIG_SYN_RHUMC 0 - global CONFIG_SYN_SMIC13; set CONFIG_SYN_SMIC13 0 - global CONFIG_SYN_TM65GPLUS; set CONFIG_SYN_TM65GPLUS 0 - global CONFIG_SYN_TSMC90; set CONFIG_SYN_TSMC90 0 - global CONFIG_SYN_UMC; set CONFIG_SYN_UMC 0 - global CONFIG_SYN_SPARTAN2; set CONFIG_SYN_SPARTAN2 0 - global CONFIG_SYN_SPARTAN3; set CONFIG_SYN_SPARTAN3 0 - global CONFIG_SYN_SPARTAN3E; set CONFIG_SYN_SPARTAN3E 0 - global CONFIG_SYN_SPARTAN6; set CONFIG_SYN_SPARTAN6 0 - global CONFIG_SYN_VIRTEX; set CONFIG_SYN_VIRTEX 0 - global CONFIG_SYN_VIRTEXE; set CONFIG_SYN_VIRTEXE 0 - global CONFIG_SYN_VIRTEX2; set CONFIG_SYN_VIRTEX2 0 - global CONFIG_SYN_VIRTEX4; set CONFIG_SYN_VIRTEX4 0 - global CONFIG_SYN_VIRTEX5; set CONFIG_SYN_VIRTEX5 0 - global CONFIG_SYN_VIRTEX6; set CONFIG_SYN_VIRTEX6 0 - global CONFIG_MEM_INFERRED; set CONFIG_MEM_INFERRED 0 - global CONFIG_MEM_UMC; set CONFIG_MEM_UMC 0 - global CONFIG_MEM_RHUMC; set CONFIG_MEM_RHUMC 0 - global CONFIG_MEM_ARTISAN; set CONFIG_MEM_ARTISAN 0 - global CONFIG_MEM_CUSTOM1; set CONFIG_MEM_CUSTOM1 0 - global CONFIG_MEM_VIRAGE; set CONFIG_MEM_VIRAGE 0 - global CONFIG_MEM_VIRAGE90; set CONFIG_MEM_VIRAGE90 0 - global CONFIG_CLK_INFERRED; set CONFIG_CLK_INFERRED 0 - global CONFIG_CLK_HCLKBUF; set CONFIG_CLK_HCLKBUF 0 - global CONFIG_CLK_UT130HBD; set CONFIG_CLK_UT130HBD 0 - global CONFIG_CLK_ALTDLL; set CONFIG_CLK_ALTDLL 0 - global CONFIG_CLK_LATDLL; set CONFIG_CLK_LATDLL 0 - global CONFIG_CLK_PRO3PLL; set CONFIG_CLK_PRO3PLL 0 - global CONFIG_CLK_PRO3EPLL; set CONFIG_CLK_PRO3EPLL 0 - global CONFIG_CLK_PRO3LPLL; set CONFIG_CLK_PRO3LPLL 0 - global CONFIG_CLK_FUSPLL; set CONFIG_CLK_FUSPLL 0 - global CONFIG_CLK_LIB18T; set CONFIG_CLK_LIB18T 0 - global CONFIG_CLK_RHUMC; set CONFIG_CLK_RHUMC 0 - global CONFIG_CLK_CLKDLL; set CONFIG_CLK_CLKDLL 0 - global CONFIG_CLK_DCM; set CONFIG_CLK_DCM 0 - global CONFIG_IU_MUL_LATENCY_2; set CONFIG_IU_MUL_LATENCY_2 0 - global CONFIG_IU_MUL_LATENCY_4; set CONFIG_IU_MUL_LATENCY_4 0 - global CONFIG_IU_MUL_LATENCY_5; set CONFIG_IU_MUL_LATENCY_5 0 - global CONFIG_IU_MUL_INFERRED; set CONFIG_IU_MUL_INFERRED 0 - global CONFIG_IU_MUL_MODGEN; set CONFIG_IU_MUL_MODGEN 0 - global CONFIG_IU_MUL_TECHSPEC; set CONFIG_IU_MUL_TECHSPEC 0 - global CONFIG_IU_MUL_DW; set CONFIG_IU_MUL_DW 0 - global CONFIG_FPU_GRFPU; set CONFIG_FPU_GRFPU 0 - global CONFIG_FPU_GRFPULITE; set CONFIG_FPU_GRFPULITE 0 - global CONFIG_FPU_MEIKO; set CONFIG_FPU_MEIKO 0 - global CONFIG_FPU_GRFPU_INFMUL; set CONFIG_FPU_GRFPU_INFMUL 0 - global CONFIG_FPU_GRFPU_DWMUL; set CONFIG_FPU_GRFPU_DWMUL 0 - global CONFIG_FPU_GRFPU_MODGEN; set CONFIG_FPU_GRFPU_MODGEN 0 - global CONFIG_FPU_GRFPU_TECHSPEC; set CONFIG_FPU_GRFPU_TECHSPEC 0 - global CONFIG_FPU_GRFPC0; set CONFIG_FPU_GRFPC0 0 - global CONFIG_FPU_GRFPC1; set CONFIG_FPU_GRFPC1 0 - global CONFIG_FPU_GRFPC2; set CONFIG_FPU_GRFPC2 0 - global CONFIG_ICACHE_ASSO1; set CONFIG_ICACHE_ASSO1 0 - global CONFIG_ICACHE_ASSO2; set CONFIG_ICACHE_ASSO2 0 - global CONFIG_ICACHE_ASSO3; set CONFIG_ICACHE_ASSO3 0 - global CONFIG_ICACHE_ASSO4; set CONFIG_ICACHE_ASSO4 0 - global CONFIG_ICACHE_SZ1; set CONFIG_ICACHE_SZ1 0 - global CONFIG_ICACHE_SZ2; set CONFIG_ICACHE_SZ2 0 - global CONFIG_ICACHE_SZ4; set CONFIG_ICACHE_SZ4 0 - global CONFIG_ICACHE_SZ8; set CONFIG_ICACHE_SZ8 0 - global CONFIG_ICACHE_SZ16; set CONFIG_ICACHE_SZ16 0 - global CONFIG_ICACHE_SZ32; set CONFIG_ICACHE_SZ32 0 - global CONFIG_ICACHE_SZ64; set CONFIG_ICACHE_SZ64 0 - global CONFIG_ICACHE_SZ128; set CONFIG_ICACHE_SZ128 0 - global CONFIG_ICACHE_SZ256; set CONFIG_ICACHE_SZ256 0 - global CONFIG_ICACHE_LZ16; set CONFIG_ICACHE_LZ16 0 - global CONFIG_ICACHE_LZ32; set CONFIG_ICACHE_LZ32 0 - global CONFIG_ICACHE_ALGORND; set CONFIG_ICACHE_ALGORND 0 - global CONFIG_ICACHE_ALGODIR; set CONFIG_ICACHE_ALGODIR 0 - global CONFIG_ICACHE_ALGOLRR; set CONFIG_ICACHE_ALGOLRR 0 - global CONFIG_ICACHE_ALGOLRU; set CONFIG_ICACHE_ALGOLRU 0 - global CONFIG_ICACHE_LRAM_SZ1; set CONFIG_ICACHE_LRAM_SZ1 0 - global CONFIG_ICACHE_LRAM_SZ2; set CONFIG_ICACHE_LRAM_SZ2 0 - global CONFIG_ICACHE_LRAM_SZ4; set CONFIG_ICACHE_LRAM_SZ4 0 - global CONFIG_ICACHE_LRAM_SZ8; set CONFIG_ICACHE_LRAM_SZ8 0 - global CONFIG_ICACHE_LRAM_SZ16; set CONFIG_ICACHE_LRAM_SZ16 0 - global CONFIG_ICACHE_LRAM_SZ32; set CONFIG_ICACHE_LRAM_SZ32 0 - global CONFIG_ICACHE_LRAM_SZ64; set CONFIG_ICACHE_LRAM_SZ64 0 - global CONFIG_ICACHE_LRAM_SZ128; set CONFIG_ICACHE_LRAM_SZ128 0 - global CONFIG_ICACHE_LRAM_SZ256; set CONFIG_ICACHE_LRAM_SZ256 0 - global CONFIG_DCACHE_ASSO1; set CONFIG_DCACHE_ASSO1 0 - global CONFIG_DCACHE_ASSO2; set CONFIG_DCACHE_ASSO2 0 - global CONFIG_DCACHE_ASSO3; set CONFIG_DCACHE_ASSO3 0 - global CONFIG_DCACHE_ASSO4; set CONFIG_DCACHE_ASSO4 0 - global CONFIG_DCACHE_SZ1; set CONFIG_DCACHE_SZ1 0 - global CONFIG_DCACHE_SZ2; set CONFIG_DCACHE_SZ2 0 - global CONFIG_DCACHE_SZ4; set CONFIG_DCACHE_SZ4 0 - global CONFIG_DCACHE_SZ8; set CONFIG_DCACHE_SZ8 0 - global CONFIG_DCACHE_SZ16; set CONFIG_DCACHE_SZ16 0 - global CONFIG_DCACHE_SZ32; set CONFIG_DCACHE_SZ32 0 - global CONFIG_DCACHE_SZ64; set CONFIG_DCACHE_SZ64 0 - global CONFIG_DCACHE_SZ128; set CONFIG_DCACHE_SZ128 0 - global CONFIG_DCACHE_SZ256; set CONFIG_DCACHE_SZ256 0 - global CONFIG_DCACHE_LZ16; set CONFIG_DCACHE_LZ16 0 - global CONFIG_DCACHE_LZ32; set CONFIG_DCACHE_LZ32 0 - global CONFIG_DCACHE_ALGORND; set CONFIG_DCACHE_ALGORND 0 - global CONFIG_DCACHE_ALGODIR; set CONFIG_DCACHE_ALGODIR 0 - global CONFIG_DCACHE_ALGOLRR; set CONFIG_DCACHE_ALGOLRR 0 - global CONFIG_DCACHE_ALGOLRU; set CONFIG_DCACHE_ALGOLRU 0 - global CONFIG_DCACHE_LRAM_SZ1; set CONFIG_DCACHE_LRAM_SZ1 0 - global CONFIG_DCACHE_LRAM_SZ2; set CONFIG_DCACHE_LRAM_SZ2 0 - global CONFIG_DCACHE_LRAM_SZ4; set CONFIG_DCACHE_LRAM_SZ4 0 - global CONFIG_DCACHE_LRAM_SZ8; set CONFIG_DCACHE_LRAM_SZ8 0 - global CONFIG_DCACHE_LRAM_SZ16; set CONFIG_DCACHE_LRAM_SZ16 0 - global CONFIG_DCACHE_LRAM_SZ32; set CONFIG_DCACHE_LRAM_SZ32 0 - global CONFIG_DCACHE_LRAM_SZ64; set CONFIG_DCACHE_LRAM_SZ64 0 - global CONFIG_DCACHE_LRAM_SZ128; set CONFIG_DCACHE_LRAM_SZ128 0 - global CONFIG_DCACHE_LRAM_SZ256; set CONFIG_DCACHE_LRAM_SZ256 0 - global CONFIG_MMU_COMBINED; set CONFIG_MMU_COMBINED 0 - global CONFIG_MMU_SPLIT; set CONFIG_MMU_SPLIT 0 - global CONFIG_MMU_REPARRAY; set CONFIG_MMU_REPARRAY 0 - global CONFIG_MMU_REPINCREMENT; set CONFIG_MMU_REPINCREMENT 0 - global CONFIG_MMU_I2; set CONFIG_MMU_I2 0 - global CONFIG_MMU_I4; set CONFIG_MMU_I4 0 - global CONFIG_MMU_I8; set CONFIG_MMU_I8 0 - global CONFIG_MMU_I16; set CONFIG_MMU_I16 0 - global CONFIG_MMU_I32; set CONFIG_MMU_I32 0 - global CONFIG_MMU_D2; set CONFIG_MMU_D2 0 - global CONFIG_MMU_D4; set CONFIG_MMU_D4 0 - global CONFIG_MMU_D8; set CONFIG_MMU_D8 0 - global CONFIG_MMU_D16; set CONFIG_MMU_D16 0 - global CONFIG_MMU_D32; set CONFIG_MMU_D32 0 - global CONFIG_MMU_PAGE_4K; set CONFIG_MMU_PAGE_4K 0 - global CONFIG_MMU_PAGE_8K; set CONFIG_MMU_PAGE_8K 0 - global CONFIG_MMU_PAGE_16K; set CONFIG_MMU_PAGE_16K 0 - global CONFIG_MMU_PAGE_32K; set CONFIG_MMU_PAGE_32K 0 - global CONFIG_MMU_PAGE_PROG; set CONFIG_MMU_PAGE_PROG 0 - global CONFIG_DSU_ITRACESZ1; set CONFIG_DSU_ITRACESZ1 0 - global CONFIG_DSU_ITRACESZ2; set CONFIG_DSU_ITRACESZ2 0 - global CONFIG_DSU_ITRACESZ4; set CONFIG_DSU_ITRACESZ4 0 - global CONFIG_DSU_ITRACESZ8; set CONFIG_DSU_ITRACESZ8 0 - global CONFIG_DSU_ITRACESZ16; set CONFIG_DSU_ITRACESZ16 0 - global CONFIG_DSU_ATRACESZ1; set CONFIG_DSU_ATRACESZ1 0 - global CONFIG_DSU_ATRACESZ2; set CONFIG_DSU_ATRACESZ2 0 - global CONFIG_DSU_ATRACESZ4; set CONFIG_DSU_ATRACESZ4 0 - global CONFIG_DSU_ATRACESZ8; set CONFIG_DSU_ATRACESZ8 0 - global CONFIG_DSU_ATRACESZ16; set CONFIG_DSU_ATRACESZ16 0 - global CONFIG_IUFT_NONE; set CONFIG_IUFT_NONE 0 - global CONFIG_IUFT_PAR; set CONFIG_IUFT_PAR 0 - global CONFIG_IUFT_DMR; set CONFIG_IUFT_DMR 0 - global CONFIG_IUFT_BCH; set CONFIG_IUFT_BCH 0 - global CONFIG_IUFT_TMR; set CONFIG_IUFT_TMR 0 - global CONFIG_DSU_ETHSZ1; set CONFIG_DSU_ETHSZ1 0 - global CONFIG_DSU_ETHSZ2; set CONFIG_DSU_ETHSZ2 0 - global CONFIG_DSU_ETHSZ4; set CONFIG_DSU_ETHSZ4 0 - global CONFIG_DSU_ETHSZ8; set CONFIG_DSU_ETHSZ8 0 - global CONFIG_DSU_ETHSZ16; set CONFIG_DSU_ETHSZ16 0 - global CONFIG_AHBRAM_SZ1; set CONFIG_AHBRAM_SZ1 0 - global CONFIG_AHBRAM_SZ2; set CONFIG_AHBRAM_SZ2 0 - global CONFIG_AHBRAM_SZ4; set CONFIG_AHBRAM_SZ4 0 - global CONFIG_AHBRAM_SZ8; set CONFIG_AHBRAM_SZ8 0 - global CONFIG_AHBRAM_SZ16; set CONFIG_AHBRAM_SZ16 0 - global CONFIG_AHBRAM_SZ32; set CONFIG_AHBRAM_SZ32 0 - global CONFIG_AHBRAM_SZ64; set CONFIG_AHBRAM_SZ64 0 - global CONFIG_GRETH_FIFO4; set CONFIG_GRETH_FIFO4 0 - global CONFIG_GRETH_FIFO8; set CONFIG_GRETH_FIFO8 0 - global CONFIG_GRETH_FIFO16; set CONFIG_GRETH_FIFO16 0 - global CONFIG_GRETH_FIFO32; set CONFIG_GRETH_FIFO32 0 - global CONFIG_GRETH_FIFO64; set CONFIG_GRETH_FIFO64 0 - global CONFIG_UA1_FIFO1; set CONFIG_UA1_FIFO1 0 - global CONFIG_UA1_FIFO2; set CONFIG_UA1_FIFO2 0 - global CONFIG_UA1_FIFO4; set CONFIG_UA1_FIFO4 0 - global CONFIG_UA1_FIFO8; set CONFIG_UA1_FIFO8 0 - global CONFIG_UA1_FIFO16; set CONFIG_UA1_FIFO16 0 - global CONFIG_UA1_FIFO32; set CONFIG_UA1_FIFO32 0 - global CONFIG_SPICTRL_NOFT; set CONFIG_SPICTRL_NOFT 0 - global CONFIG_SPICTRL_DMRFT; set CONFIG_SPICTRL_DMRFT 0 - global CONFIG_SPICTRL_TMRFT; set CONFIG_SPICTRL_TMRFT 0 -} - - -proc update_choices { } { - global tmpvar_0 - set tmpvar_0 "Inferred" - global CONFIG_SYN_INFERRED - if { $CONFIG_SYN_INFERRED == 1 } then { set tmpvar_0 "Inferred" } - global CONFIG_SYN_STRATIX - if { $CONFIG_SYN_STRATIX == 1 } then { set tmpvar_0 "Altera-Stratix" } - global CONFIG_SYN_STRATIXII - if { $CONFIG_SYN_STRATIXII == 1 } then { set tmpvar_0 "Altera-StratixII" } - global CONFIG_SYN_STRATIXIII - if { $CONFIG_SYN_STRATIXIII == 1 } then { set tmpvar_0 "Altera-StratixIII" } - global CONFIG_SYN_CYCLONEIII - if { $CONFIG_SYN_CYCLONEIII == 1 } then { set tmpvar_0 "Altera-CycloneIII" } - global CONFIG_SYN_ALTERA - if { $CONFIG_SYN_ALTERA == 1 } then { set tmpvar_0 "Altera-Others" } - global CONFIG_SYN_AXCEL - if { $CONFIG_SYN_AXCEL == 1 } then { set tmpvar_0 "Actel-Axcelerator" } - global CONFIG_SYN_AXDSP - if { $CONFIG_SYN_AXDSP == 1 } then { set tmpvar_0 "Actel-Axcelerator-DSP" } - global CONFIG_SYN_PROASIC - if { $CONFIG_SYN_PROASIC == 1 } then { set tmpvar_0 "Actel-Proasic" } - global CONFIG_SYN_PROASICPLUS - if { $CONFIG_SYN_PROASICPLUS == 1 } then { set tmpvar_0 "Actel-ProasicPlus" } - global CONFIG_SYN_PROASIC3 - if { $CONFIG_SYN_PROASIC3 == 1 } then { set tmpvar_0 "Actel-Proasic3" } - global CONFIG_SYN_PROASIC3E - if { $CONFIG_SYN_PROASIC3E == 1 } then { set tmpvar_0 "Actel-Proasic3E" } - global CONFIG_SYN_PROASIC3L - if { $CONFIG_SYN_PROASIC3L == 1 } then { set tmpvar_0 "Actel-Proasic3L" } - global CONFIG_SYN_IGLOO - if { $CONFIG_SYN_IGLOO == 1 } then { set tmpvar_0 "Actel-IGLOO/p/L" } - global CONFIG_SYN_FUSION - if { $CONFIG_SYN_FUSION == 1 } then { set tmpvar_0 "Actel-Fusion" } - global CONFIG_SYN_UT025CRH - if { $CONFIG_SYN_UT025CRH == 1 } then { set tmpvar_0 "Aeroflex-UT025CRH" } - global CONFIG_SYN_UT130HBD - if { $CONFIG_SYN_UT130HBD == 1 } then { set tmpvar_0 "Aeroflex-UT130HBD" } - global CONFIG_SYN_UT90NHBD - if { $CONFIG_SYN_UT90NHBD == 1 } then { set tmpvar_0 "Aeroflex-UT90NHBD" } - global CONFIG_SYN_ATC18 - if { $CONFIG_SYN_ATC18 == 1 } then { set tmpvar_0 "Atmel-ATC18" } - global CONFIG_SYN_ATC18RHA - if { $CONFIG_SYN_ATC18RHA == 1 } then { set tmpvar_0 "Atmel-ATC18RHA" } - global CONFIG_SYN_CMOS9SF - if { $CONFIG_SYN_CMOS9SF == 1 } then { set tmpvar_0 "IBM-CMOS9SF" } - global CONFIG_SYN_CUSTOM1 - if { $CONFIG_SYN_CUSTOM1 == 1 } then { set tmpvar_0 "Custom1" } - global CONFIG_SYN_EASIC90 - if { $CONFIG_SYN_EASIC90 == 1 } then { set tmpvar_0 "eASIC90" } - global CONFIG_SYN_EASIC45 - if { $CONFIG_SYN_EASIC45 == 1 } then { set tmpvar_0 "eASIC45" } - global CONFIG_SYN_IHP25 - if { $CONFIG_SYN_IHP25 == 1 } then { set tmpvar_0 "IHP25" } - global CONFIG_SYN_IHP25RH - if { $CONFIG_SYN_IHP25RH == 1 } then { set tmpvar_0 "IHP25RH" } - global CONFIG_SYN_LATTICE - if { $CONFIG_SYN_LATTICE == 1 } then { set tmpvar_0 "Lattice-EC/ECP/XP" } - global CONFIG_SYN_ECLIPSE - if { $CONFIG_SYN_ECLIPSE == 1 } then { set tmpvar_0 "Quicklogic-Eclipse" } - global CONFIG_SYN_PEREGRINE - if { $CONFIG_SYN_PEREGRINE == 1 } then { set tmpvar_0 "Peregrine" } - global CONFIG_SYN_RH_LIB18T - if { $CONFIG_SYN_RH_LIB18T == 1 } then { set tmpvar_0 "RH-LIB18T" } - global CONFIG_SYN_RHUMC - if { $CONFIG_SYN_RHUMC == 1 } then { set tmpvar_0 "RH-UMC" } - global CONFIG_SYN_SMIC13 - if { $CONFIG_SYN_SMIC13 == 1 } then { set tmpvar_0 "SMIC130" } - global CONFIG_SYN_TM65GPLUS - if { $CONFIG_SYN_TM65GPLUS == 1 } then { set tmpvar_0 "TM65Gplus" } - global CONFIG_SYN_TSMC90 - if { $CONFIG_SYN_TSMC90 == 1 } then { set tmpvar_0 "TSMC90" } - global CONFIG_SYN_UMC - if { $CONFIG_SYN_UMC == 1 } then { set tmpvar_0 "UMC180" } - global CONFIG_SYN_SPARTAN2 - if { $CONFIG_SYN_SPARTAN2 == 1 } then { set tmpvar_0 "Xilinx-Spartan2" } - global CONFIG_SYN_SPARTAN3 - if { $CONFIG_SYN_SPARTAN3 == 1 } then { set tmpvar_0 "Xilinx-Spartan3" } - global CONFIG_SYN_SPARTAN3E - if { $CONFIG_SYN_SPARTAN3E == 1 } then { set tmpvar_0 "Xilinx-Spartan3E" } - global CONFIG_SYN_SPARTAN6 - if { $CONFIG_SYN_SPARTAN6 == 1 } then { set tmpvar_0 "Xilinx-Spartan6" } - global CONFIG_SYN_VIRTEX - if { $CONFIG_SYN_VIRTEX == 1 } then { set tmpvar_0 "Xilinx-Virtex" } - global CONFIG_SYN_VIRTEXE - if { $CONFIG_SYN_VIRTEXE == 1 } then { set tmpvar_0 "Xilinx-VirtexE" } - global CONFIG_SYN_VIRTEX2 - if { $CONFIG_SYN_VIRTEX2 == 1 } then { set tmpvar_0 "Xilinx-Virtex2" } - global CONFIG_SYN_VIRTEX4 - if { $CONFIG_SYN_VIRTEX4 == 1 } then { set tmpvar_0 "Xilinx-Virtex4" } - global CONFIG_SYN_VIRTEX5 - if { $CONFIG_SYN_VIRTEX5 == 1 } then { set tmpvar_0 "Xilinx-Virtex5" } - global CONFIG_SYN_VIRTEX6 - if { $CONFIG_SYN_VIRTEX6 == 1 } then { set tmpvar_0 "Xilinx-Virtex6" } - global tmpvar_1 - set tmpvar_1 "Inferred" - global CONFIG_MEM_INFERRED - if { $CONFIG_MEM_INFERRED == 1 } then { set tmpvar_1 "Inferred" } - global CONFIG_MEM_UMC - if { $CONFIG_MEM_UMC == 1 } then { set tmpvar_1 "UMC18" } - global CONFIG_MEM_RHUMC - if { $CONFIG_MEM_RHUMC == 1 } then { set tmpvar_1 "RH-UMC" } - global CONFIG_MEM_ARTISAN - if { $CONFIG_MEM_ARTISAN == 1 } then { set tmpvar_1 "Artisan" } - global CONFIG_MEM_CUSTOM1 - if { $CONFIG_MEM_CUSTOM1 == 1 } then { set tmpvar_1 "Custom1" } - global CONFIG_MEM_VIRAGE - if { $CONFIG_MEM_VIRAGE == 1 } then { set tmpvar_1 "Virage" } - global CONFIG_MEM_VIRAGE90 - if { $CONFIG_MEM_VIRAGE90 == 1 } then { set tmpvar_1 "Virage-TSMC90" } - global tmpvar_2 - set tmpvar_2 "Inferred" - global CONFIG_CLK_INFERRED - if { $CONFIG_CLK_INFERRED == 1 } then { set tmpvar_2 "Inferred" } - global CONFIG_CLK_HCLKBUF - if { $CONFIG_CLK_HCLKBUF == 1 } then { set tmpvar_2 "Actel-HCLKBUF" } - global CONFIG_CLK_UT130HBD - if { $CONFIG_CLK_UT130HBD == 1 } then { set tmpvar_2 "Aeroflex-UT130HBD" } - global CONFIG_CLK_ALTDLL - if { $CONFIG_CLK_ALTDLL == 1 } then { set tmpvar_2 "Altera-ALTPLL" } - global CONFIG_CLK_LATDLL - if { $CONFIG_CLK_LATDLL == 1 } then { set tmpvar_2 "Lattice-EXPLL" } - global CONFIG_CLK_PRO3PLL - if { $CONFIG_CLK_PRO3PLL == 1 } then { set tmpvar_2 "Proasic3-PLL" } - global CONFIG_CLK_PRO3EPLL - if { $CONFIG_CLK_PRO3EPLL == 1 } then { set tmpvar_2 "Proasic3E-PLL" } - global CONFIG_CLK_PRO3LPLL - if { $CONFIG_CLK_PRO3LPLL == 1 } then { set tmpvar_2 "Proasic3L-PLL" } - global CONFIG_CLK_FUSPLL - if { $CONFIG_CLK_FUSPLL == 1 } then { set tmpvar_2 "Fusion-PLL" } - global CONFIG_CLK_LIB18T - if { $CONFIG_CLK_LIB18T == 1 } then { set tmpvar_2 "RH-LIB18T-PLL" } - global CONFIG_CLK_RHUMC - if { $CONFIG_CLK_RHUMC == 1 } then { set tmpvar_2 "DARE-PLL" } - global CONFIG_CLK_CLKDLL - if { $CONFIG_CLK_CLKDLL == 1 } then { set tmpvar_2 "Xilinx-CLKDLL" } - global CONFIG_CLK_DCM - if { $CONFIG_CLK_DCM == 1 } then { set tmpvar_2 "Xilinx-DCM" } - global tmpvar_3 - set tmpvar_3 "5-cycles" - global CONFIG_IU_MUL_LATENCY_2 - if { $CONFIG_IU_MUL_LATENCY_2 == 1 } then { set tmpvar_3 "2-cycles" } - global CONFIG_IU_MUL_LATENCY_4 - if { $CONFIG_IU_MUL_LATENCY_4 == 1 } then { set tmpvar_3 "4-cycles" } - global CONFIG_IU_MUL_LATENCY_5 - if { $CONFIG_IU_MUL_LATENCY_5 == 1 } then { set tmpvar_3 "5-cycles" } - global tmpvar_4 - set tmpvar_4 "Inferred" - global CONFIG_IU_MUL_INFERRED - if { $CONFIG_IU_MUL_INFERRED == 1 } then { set tmpvar_4 "Inferred" } - global CONFIG_IU_MUL_MODGEN - if { $CONFIG_IU_MUL_MODGEN == 1 } then { set tmpvar_4 "NTNU_Modgen" } - global CONFIG_IU_MUL_TECHSPEC - if { $CONFIG_IU_MUL_TECHSPEC == 1 } then { set tmpvar_4 "TechSpec" } - global CONFIG_IU_MUL_DW - if { $CONFIG_IU_MUL_DW == 1 } then { set tmpvar_4 "Designware" } - global tmpvar_5 - set tmpvar_5 "GRFPU" - global CONFIG_FPU_GRFPU - if { $CONFIG_FPU_GRFPU == 1 } then { set tmpvar_5 "GRFPU" } - global CONFIG_FPU_GRFPULITE - if { $CONFIG_FPU_GRFPULITE == 1 } then { set tmpvar_5 "GRFPU-LITE" } - global CONFIG_FPU_MEIKO - if { $CONFIG_FPU_MEIKO == 1 } then { set tmpvar_5 "Meiko" } - global tmpvar_6 - set tmpvar_6 "Inferred" - global CONFIG_FPU_GRFPU_INFMUL - if { $CONFIG_FPU_GRFPU_INFMUL == 1 } then { set tmpvar_6 "Inferred" } - global CONFIG_FPU_GRFPU_DWMUL - if { $CONFIG_FPU_GRFPU_DWMUL == 1 } then { set tmpvar_6 "DW" } - global CONFIG_FPU_GRFPU_MODGEN - if { $CONFIG_FPU_GRFPU_MODGEN == 1 } then { set tmpvar_6 "ModGen" } - global CONFIG_FPU_GRFPU_TECHSPEC - if { $CONFIG_FPU_GRFPU_TECHSPEC == 1 } then { set tmpvar_6 "TechSpec" } - global tmpvar_7 - set tmpvar_7 "Simple" - global CONFIG_FPU_GRFPC0 - if { $CONFIG_FPU_GRFPC0 == 1 } then { set tmpvar_7 "Simple" } - global CONFIG_FPU_GRFPC1 - if { $CONFIG_FPU_GRFPC1 == 1 } then { set tmpvar_7 "Data-forwarding" } - global CONFIG_FPU_GRFPC2 - if { $CONFIG_FPU_GRFPC2 == 1 } then { set tmpvar_7 "Non-blocking" } - global tmpvar_8 - set tmpvar_8 "1" - global CONFIG_ICACHE_ASSO1 - if { $CONFIG_ICACHE_ASSO1 == 1 } then { set tmpvar_8 "1" } - global CONFIG_ICACHE_ASSO2 - if { $CONFIG_ICACHE_ASSO2 == 1 } then { set tmpvar_8 "2" } - global CONFIG_ICACHE_ASSO3 - if { $CONFIG_ICACHE_ASSO3 == 1 } then { set tmpvar_8 "3" } - global CONFIG_ICACHE_ASSO4 - if { $CONFIG_ICACHE_ASSO4 == 1 } then { set tmpvar_8 "4" } - global tmpvar_9 - set tmpvar_9 "4" - global CONFIG_ICACHE_SZ1 - if { $CONFIG_ICACHE_SZ1 == 1 } then { set tmpvar_9 "1" } - global CONFIG_ICACHE_SZ2 - if { $CONFIG_ICACHE_SZ2 == 1 } then { set tmpvar_9 "2" } - global CONFIG_ICACHE_SZ4 - if { $CONFIG_ICACHE_SZ4 == 1 } then { set tmpvar_9 "4" } - global CONFIG_ICACHE_SZ8 - if { $CONFIG_ICACHE_SZ8 == 1 } then { set tmpvar_9 "8" } - global CONFIG_ICACHE_SZ16 - if { $CONFIG_ICACHE_SZ16 == 1 } then { set tmpvar_9 "16" } - global CONFIG_ICACHE_SZ32 - if { $CONFIG_ICACHE_SZ32 == 1 } then { set tmpvar_9 "32" } - global CONFIG_ICACHE_SZ64 - if { $CONFIG_ICACHE_SZ64 == 1 } then { set tmpvar_9 "64" } - global CONFIG_ICACHE_SZ128 - if { $CONFIG_ICACHE_SZ128 == 1 } then { set tmpvar_9 "128" } - global CONFIG_ICACHE_SZ256 - if { $CONFIG_ICACHE_SZ256 == 1 } then { set tmpvar_9 "256" } - global tmpvar_10 - set tmpvar_10 "32" - global CONFIG_ICACHE_LZ16 - if { $CONFIG_ICACHE_LZ16 == 1 } then { set tmpvar_10 "16" } - global CONFIG_ICACHE_LZ32 - if { $CONFIG_ICACHE_LZ32 == 1 } then { set tmpvar_10 "32" } - global tmpvar_11 - set tmpvar_11 "Random" - global CONFIG_ICACHE_ALGORND - if { $CONFIG_ICACHE_ALGORND == 1 } then { set tmpvar_11 "Random" } - global CONFIG_ICACHE_ALGODIR - if { $CONFIG_ICACHE_ALGODIR == 1 } then { set tmpvar_11 "Direct" } - global CONFIG_ICACHE_ALGOLRR - if { $CONFIG_ICACHE_ALGOLRR == 1 } then { set tmpvar_11 "LRR" } - global CONFIG_ICACHE_ALGOLRU - if { $CONFIG_ICACHE_ALGOLRU == 1 } then { set tmpvar_11 "LRU" } - global tmpvar_12 - set tmpvar_12 "4" - global CONFIG_ICACHE_LRAM_SZ1 - if { $CONFIG_ICACHE_LRAM_SZ1 == 1 } then { set tmpvar_12 "1" } - global CONFIG_ICACHE_LRAM_SZ2 - if { $CONFIG_ICACHE_LRAM_SZ2 == 1 } then { set tmpvar_12 "2" } - global CONFIG_ICACHE_LRAM_SZ4 - if { $CONFIG_ICACHE_LRAM_SZ4 == 1 } then { set tmpvar_12 "4" } - global CONFIG_ICACHE_LRAM_SZ8 - if { $CONFIG_ICACHE_LRAM_SZ8 == 1 } then { set tmpvar_12 "8" } - global CONFIG_ICACHE_LRAM_SZ16 - if { $CONFIG_ICACHE_LRAM_SZ16 == 1 } then { set tmpvar_12 "16" } - global CONFIG_ICACHE_LRAM_SZ32 - if { $CONFIG_ICACHE_LRAM_SZ32 == 1 } then { set tmpvar_12 "32" } - global CONFIG_ICACHE_LRAM_SZ64 - if { $CONFIG_ICACHE_LRAM_SZ64 == 1 } then { set tmpvar_12 "64" } - global CONFIG_ICACHE_LRAM_SZ128 - if { $CONFIG_ICACHE_LRAM_SZ128 == 1 } then { set tmpvar_12 "128" } - global CONFIG_ICACHE_LRAM_SZ256 - if { $CONFIG_ICACHE_LRAM_SZ256 == 1 } then { set tmpvar_12 "256" } - global tmpvar_13 - set tmpvar_13 "1" - global CONFIG_DCACHE_ASSO1 - if { $CONFIG_DCACHE_ASSO1 == 1 } then { set tmpvar_13 "1" } - global CONFIG_DCACHE_ASSO2 - if { $CONFIG_DCACHE_ASSO2 == 1 } then { set tmpvar_13 "2" } - global CONFIG_DCACHE_ASSO3 - if { $CONFIG_DCACHE_ASSO3 == 1 } then { set tmpvar_13 "3" } - global CONFIG_DCACHE_ASSO4 - if { $CONFIG_DCACHE_ASSO4 == 1 } then { set tmpvar_13 "4" } - global tmpvar_14 - set tmpvar_14 "4" - global CONFIG_DCACHE_SZ1 - if { $CONFIG_DCACHE_SZ1 == 1 } then { set tmpvar_14 "1" } - global CONFIG_DCACHE_SZ2 - if { $CONFIG_DCACHE_SZ2 == 1 } then { set tmpvar_14 "2" } - global CONFIG_DCACHE_SZ4 - if { $CONFIG_DCACHE_SZ4 == 1 } then { set tmpvar_14 "4" } - global CONFIG_DCACHE_SZ8 - if { $CONFIG_DCACHE_SZ8 == 1 } then { set tmpvar_14 "8" } - global CONFIG_DCACHE_SZ16 - if { $CONFIG_DCACHE_SZ16 == 1 } then { set tmpvar_14 "16" } - global CONFIG_DCACHE_SZ32 - if { $CONFIG_DCACHE_SZ32 == 1 } then { set tmpvar_14 "32" } - global CONFIG_DCACHE_SZ64 - if { $CONFIG_DCACHE_SZ64 == 1 } then { set tmpvar_14 "64" } - global CONFIG_DCACHE_SZ128 - if { $CONFIG_DCACHE_SZ128 == 1 } then { set tmpvar_14 "128" } - global CONFIG_DCACHE_SZ256 - if { $CONFIG_DCACHE_SZ256 == 1 } then { set tmpvar_14 "256" } - global tmpvar_15 - set tmpvar_15 "32" - global CONFIG_DCACHE_LZ16 - if { $CONFIG_DCACHE_LZ16 == 1 } then { set tmpvar_15 "16" } - global CONFIG_DCACHE_LZ32 - if { $CONFIG_DCACHE_LZ32 == 1 } then { set tmpvar_15 "32" } - global tmpvar_16 - set tmpvar_16 "Random" - global CONFIG_DCACHE_ALGORND - if { $CONFIG_DCACHE_ALGORND == 1 } then { set tmpvar_16 "Random" } - global CONFIG_DCACHE_ALGODIR - if { $CONFIG_DCACHE_ALGODIR == 1 } then { set tmpvar_16 "Direct" } - global CONFIG_DCACHE_ALGOLRR - if { $CONFIG_DCACHE_ALGOLRR == 1 } then { set tmpvar_16 "LRR" } - global CONFIG_DCACHE_ALGOLRU - if { $CONFIG_DCACHE_ALGOLRU == 1 } then { set tmpvar_16 "LRU" } - global tmpvar_17 - set tmpvar_17 "4" - global CONFIG_DCACHE_LRAM_SZ1 - if { $CONFIG_DCACHE_LRAM_SZ1 == 1 } then { set tmpvar_17 "1" } - global CONFIG_DCACHE_LRAM_SZ2 - if { $CONFIG_DCACHE_LRAM_SZ2 == 1 } then { set tmpvar_17 "2" } - global CONFIG_DCACHE_LRAM_SZ4 - if { $CONFIG_DCACHE_LRAM_SZ4 == 1 } then { set tmpvar_17 "4" } - global CONFIG_DCACHE_LRAM_SZ8 - if { $CONFIG_DCACHE_LRAM_SZ8 == 1 } then { set tmpvar_17 "8" } - global CONFIG_DCACHE_LRAM_SZ16 - if { $CONFIG_DCACHE_LRAM_SZ16 == 1 } then { set tmpvar_17 "16" } - global CONFIG_DCACHE_LRAM_SZ32 - if { $CONFIG_DCACHE_LRAM_SZ32 == 1 } then { set tmpvar_17 "32" } - global CONFIG_DCACHE_LRAM_SZ64 - if { $CONFIG_DCACHE_LRAM_SZ64 == 1 } then { set tmpvar_17 "64" } - global CONFIG_DCACHE_LRAM_SZ128 - if { $CONFIG_DCACHE_LRAM_SZ128 == 1 } then { set tmpvar_17 "128" } - global CONFIG_DCACHE_LRAM_SZ256 - if { $CONFIG_DCACHE_LRAM_SZ256 == 1 } then { set tmpvar_17 "256" } - global tmpvar_18 - set tmpvar_18 "combined" - global CONFIG_MMU_COMBINED - if { $CONFIG_MMU_COMBINED == 1 } then { set tmpvar_18 "combined" } - global CONFIG_MMU_SPLIT - if { $CONFIG_MMU_SPLIT == 1 } then { set tmpvar_18 "split" } - global tmpvar_19 - set tmpvar_19 "Increment" - global CONFIG_MMU_REPARRAY - if { $CONFIG_MMU_REPARRAY == 1 } then { set tmpvar_19 "LRU" } - global CONFIG_MMU_REPINCREMENT - if { $CONFIG_MMU_REPINCREMENT == 1 } then { set tmpvar_19 "Increment" } - global tmpvar_20 - set tmpvar_20 "8" - global CONFIG_MMU_I2 - if { $CONFIG_MMU_I2 == 1 } then { set tmpvar_20 "2" } - global CONFIG_MMU_I4 - if { $CONFIG_MMU_I4 == 1 } then { set tmpvar_20 "4" } - global CONFIG_MMU_I8 - if { $CONFIG_MMU_I8 == 1 } then { set tmpvar_20 "8" } - global CONFIG_MMU_I16 - if { $CONFIG_MMU_I16 == 1 } then { set tmpvar_20 "16" } - global CONFIG_MMU_I32 - if { $CONFIG_MMU_I32 == 1 } then { set tmpvar_20 "32" } - global tmpvar_21 - set tmpvar_21 "8" - global CONFIG_MMU_D2 - if { $CONFIG_MMU_D2 == 1 } then { set tmpvar_21 "2" } - global CONFIG_MMU_D4 - if { $CONFIG_MMU_D4 == 1 } then { set tmpvar_21 "4" } - global CONFIG_MMU_D8 - if { $CONFIG_MMU_D8 == 1 } then { set tmpvar_21 "8" } - global CONFIG_MMU_D16 - if { $CONFIG_MMU_D16 == 1 } then { set tmpvar_21 "16" } - global CONFIG_MMU_D32 - if { $CONFIG_MMU_D32 == 1 } then { set tmpvar_21 "32" } - global tmpvar_22 - set tmpvar_22 "4K" - global CONFIG_MMU_PAGE_4K - if { $CONFIG_MMU_PAGE_4K == 1 } then { set tmpvar_22 "4K" } - global CONFIG_MMU_PAGE_8K - if { $CONFIG_MMU_PAGE_8K == 1 } then { set tmpvar_22 "8K" } - global CONFIG_MMU_PAGE_16K - if { $CONFIG_MMU_PAGE_16K == 1 } then { set tmpvar_22 "16K" } - global CONFIG_MMU_PAGE_32K - if { $CONFIG_MMU_PAGE_32K == 1 } then { set tmpvar_22 "32K" } - global CONFIG_MMU_PAGE_PROG - if { $CONFIG_MMU_PAGE_PROG == 1 } then { set tmpvar_22 "Programmable" } - global tmpvar_23 - set tmpvar_23 "1" - global CONFIG_DSU_ITRACESZ1 - if { $CONFIG_DSU_ITRACESZ1 == 1 } then { set tmpvar_23 "1" } - global CONFIG_DSU_ITRACESZ2 - if { $CONFIG_DSU_ITRACESZ2 == 1 } then { set tmpvar_23 "2" } - global CONFIG_DSU_ITRACESZ4 - if { $CONFIG_DSU_ITRACESZ4 == 1 } then { set tmpvar_23 "4" } - global CONFIG_DSU_ITRACESZ8 - if { $CONFIG_DSU_ITRACESZ8 == 1 } then { set tmpvar_23 "8" } - global CONFIG_DSU_ITRACESZ16 - if { $CONFIG_DSU_ITRACESZ16 == 1 } then { set tmpvar_23 "16" } - global tmpvar_24 - set tmpvar_24 "1" - global CONFIG_DSU_ATRACESZ1 - if { $CONFIG_DSU_ATRACESZ1 == 1 } then { set tmpvar_24 "1" } - global CONFIG_DSU_ATRACESZ2 - if { $CONFIG_DSU_ATRACESZ2 == 1 } then { set tmpvar_24 "2" } - global CONFIG_DSU_ATRACESZ4 - if { $CONFIG_DSU_ATRACESZ4 == 1 } then { set tmpvar_24 "4" } - global CONFIG_DSU_ATRACESZ8 - if { $CONFIG_DSU_ATRACESZ8 == 1 } then { set tmpvar_24 "8" } - global CONFIG_DSU_ATRACESZ16 - if { $CONFIG_DSU_ATRACESZ16 == 1 } then { set tmpvar_24 "16" } - global tmpvar_25 - set tmpvar_25 "None" - global CONFIG_IUFT_NONE - if { $CONFIG_IUFT_NONE == 1 } then { set tmpvar_25 "None" } - global CONFIG_IUFT_PAR - if { $CONFIG_IUFT_PAR == 1 } then { set tmpvar_25 "Parity" } - global CONFIG_IUFT_DMR - if { $CONFIG_IUFT_DMR == 1 } then { set tmpvar_25 "PDMR" } - global CONFIG_IUFT_BCH - if { $CONFIG_IUFT_BCH == 1 } then { set tmpvar_25 "BCH" } - global CONFIG_IUFT_TMR - if { $CONFIG_IUFT_TMR == 1 } then { set tmpvar_25 "TMR" } - global tmpvar_26 - set tmpvar_26 "2" - global CONFIG_DSU_ETHSZ1 - if { $CONFIG_DSU_ETHSZ1 == 1 } then { set tmpvar_26 "1" } - global CONFIG_DSU_ETHSZ2 - if { $CONFIG_DSU_ETHSZ2 == 1 } then { set tmpvar_26 "2" } - global CONFIG_DSU_ETHSZ4 - if { $CONFIG_DSU_ETHSZ4 == 1 } then { set tmpvar_26 "4" } - global CONFIG_DSU_ETHSZ8 - if { $CONFIG_DSU_ETHSZ8 == 1 } then { set tmpvar_26 "8" } - global CONFIG_DSU_ETHSZ16 - if { $CONFIG_DSU_ETHSZ16 == 1 } then { set tmpvar_26 "16" } - global tmpvar_27 - set tmpvar_27 "4" - global CONFIG_AHBRAM_SZ1 - if { $CONFIG_AHBRAM_SZ1 == 1 } then { set tmpvar_27 "1" } - global CONFIG_AHBRAM_SZ2 - if { $CONFIG_AHBRAM_SZ2 == 1 } then { set tmpvar_27 "2" } - global CONFIG_AHBRAM_SZ4 - if { $CONFIG_AHBRAM_SZ4 == 1 } then { set tmpvar_27 "4" } - global CONFIG_AHBRAM_SZ8 - if { $CONFIG_AHBRAM_SZ8 == 1 } then { set tmpvar_27 "8" } - global CONFIG_AHBRAM_SZ16 - if { $CONFIG_AHBRAM_SZ16 == 1 } then { set tmpvar_27 "16" } - global CONFIG_AHBRAM_SZ32 - if { $CONFIG_AHBRAM_SZ32 == 1 } then { set tmpvar_27 "32" } - global CONFIG_AHBRAM_SZ64 - if { $CONFIG_AHBRAM_SZ64 == 1 } then { set tmpvar_27 "64" } - global tmpvar_28 - set tmpvar_28 "8" - global CONFIG_GRETH_FIFO4 - if { $CONFIG_GRETH_FIFO4 == 1 } then { set tmpvar_28 "4" } - global CONFIG_GRETH_FIFO8 - if { $CONFIG_GRETH_FIFO8 == 1 } then { set tmpvar_28 "8" } - global CONFIG_GRETH_FIFO16 - if { $CONFIG_GRETH_FIFO16 == 1 } then { set tmpvar_28 "16" } - global CONFIG_GRETH_FIFO32 - if { $CONFIG_GRETH_FIFO32 == 1 } then { set tmpvar_28 "32" } - global CONFIG_GRETH_FIFO64 - if { $CONFIG_GRETH_FIFO64 == 1 } then { set tmpvar_28 "64" } - global tmpvar_29 - set tmpvar_29 "1" - global CONFIG_UA1_FIFO1 - if { $CONFIG_UA1_FIFO1 == 1 } then { set tmpvar_29 "1" } - global CONFIG_UA1_FIFO2 - if { $CONFIG_UA1_FIFO2 == 1 } then { set tmpvar_29 "2" } - global CONFIG_UA1_FIFO4 - if { $CONFIG_UA1_FIFO4 == 1 } then { set tmpvar_29 "4" } - global CONFIG_UA1_FIFO8 - if { $CONFIG_UA1_FIFO8 == 1 } then { set tmpvar_29 "8" } - global CONFIG_UA1_FIFO16 - if { $CONFIG_UA1_FIFO16 == 1 } then { set tmpvar_29 "16" } - global CONFIG_UA1_FIFO32 - if { $CONFIG_UA1_FIFO32 == 1 } then { set tmpvar_29 "32" } - global tmpvar_30 - set tmpvar_30 "None" - global CONFIG_SPICTRL_NOFT - if { $CONFIG_SPICTRL_NOFT == 1 } then { set tmpvar_30 "None" } - global CONFIG_SPICTRL_DMRFT - if { $CONFIG_SPICTRL_DMRFT == 1 } then { set tmpvar_30 "Parity-DMR" } - global CONFIG_SPICTRL_TMRFT - if { $CONFIG_SPICTRL_TMRFT == 1 } then { set tmpvar_30 "TMR" } -} - - -proc update_define_mainmenu {} { - global CONFIG_MODULES - global CONFIG_LEON3FT_PRESENT - global CONFIG_HAS_SHARED_GRFPU - global CONSTANT_Y - set CONFIG_LEON3FT_PRESENT $CONSTANT_Y - set CONFIG_HAS_SHARED_GRFPU $CONSTANT_Y -} - - -# FILE: tail.tk -# This file is boilerplate TCL/TK function definitions for 'make xconfig'. -# -# CHANGES -# ======= -# -# 8 January 1998, Michael Elizabeth Chastain, -# Arrange buttons in three columns for better screen fitting. -# - -# -# Read the user's settings from .config. These will override whatever is -# in config.in. Don't do this if the user specified a -D to force -# the defaults. -# - -set defaults defconfig - -if { [file readable .config] == 1} then { - if { $argc > 0 } then { - if { [lindex $argv 0] != "-D" } then { - read_config .config - } - else - { - read_config $defaults - } - } else { - read_config .config - } -} else { - read_config $defaults -} - -update_define 1 $total_menus 0 -update_mainmenu - -button .f0.right.save -anchor w -text "Save and Exit" -underline 0\ - -command { catch {exec cp -f .config .config.old}; \ - writeconfig .config config.h; wrapup .wrap } - -button .f0.right.quit -anchor w -text "Quit Without Saving" -underline 0\ - -command { maybe_exit .maybe } - -button .f0.right.load -anchor w -text "Load Configuration from File" \ - -command { load_configfile .load "Load Configuration from file" read_config_file -} - -button .f0.right.store -anchor w -text "Store Configuration to File" \ - -command { load_configfile .load "Store Configuration to file" write_config_file } - -# -# Now pack everything. -# - -pack .f0.right.store .f0.right.load .f0.right.quit .f0.right.save \ - -padx 0 -pady 0 -side bottom -fill x -pack .f0.left .f0.middle .f0.right -side left -padx 5 -pady 0 -fill y -pack .f0 -padx 5 -pady 5 - -update idletasks -set winy [expr 10 + [winfo reqheight .f0]] -set scry [lindex [wm maxsize .] 1] -set winx [expr 10 + [winfo reqwidth .f0]] -set scrx [lindex [wm maxsize .] 0] -if {$winx < $scrx} then {set maxx -1} else {set maxx $winx} -if {$winy < $scry} then {set maxy -1} else {set maxy $winy} -.f0 configure -width $winx -height $winy -wm maxsize . $maxx $maxy - -# -# If we cannot write our config files, disable the write button. -# -if { [file exists .config] == 1 } then { - if { [file writable .config] == 0 } then { - .f0.right.save configure -state disabled - } - } else { - if { [file writable .] == 0 } then { - .f0.right.save configure -state disabled - } - } - -#if { [file exists include/linux/autoconf.h] == 1 } then { -# if { [file writable include/linux/autoconf.h] == 0 } then { -# .f0.right.save configure -state disabled -# } -# } else { -# if { [file writable include/linux/] == 0 } then { -# .f0.right.save configure -state disabled -# } -# } diff --git a/designs/beaglebone-test1/leon3mp.ucf b/designs/beaglebone-test1/leon3mp.ucf deleted file mode 100644 --- a/designs/beaglebone-test1/leon3mp.ucf +++ /dev/null @@ -1,42 +0,0 @@ - -#NET "clkm" TNM_NET = "clkm"; - -CONFIG VCCAUX=2.5; -CONFIG MCB_PERFORMANCE= EXTENDED; - -NET "clk27" period = 37.000 ; -NET "clk33" period = 30.000 ; -NET "clk200p" period = 5.000 ; - -NET "clk33" LOC = "N19" | IOSTANDARD = LVCMOS25; - -# XST versions of MIG false paths -#Please uncomment the below TIG if used in a design which enables self-refresh mode -#NET "mig_gen.ddrc/MCB_inst/memc?_wrapper_inst/memc?_mcb_raw_wrapper_inst/gen_term_calib.mcb_soft_calibration_top_inst/mcb_soft_calibration_inst/SELFREFRESH_MCB_REQ" TIG; - -NET "reset" LOC = "h8" | IOSTANDARD = LVCMOS15; - - -NET "clk200p" LOC = "k21" | IOSTANDARD =LVDS_25; -NET "clk200n" LOC = "k22" | IOSTANDARD =LVDS_25; - - -NET "txd1" LOC = "h17" | IOSTANDARD = LVCMOS25; -NET "rxd1" LOC = "b21" | IOSTANDARD = LVCMOS25; -NET "ctsn1" LOC = "f18" | IOSTANDARD = LVCMOS25; -NET "rtsn1" LOC = "f19" | IOSTANDARD = LVCMOS25; - - -NET "switch(3)" LOC = "e4" | IOSTANDARD = LVCMOS15; # DIP switch s2-4 -NET "switch(2)" LOC = "w6" | IOSTANDARD = LVCMOS25; # DIP switch s2-3 -NET "switch(1)" LOC = "y6" | IOSTANDARD = LVCMOS25; # DIP switch s2-2 -NET "switch(0)" LOC = "c18" | IOSTANDARD = LVCMOS25; # DIP switch s2-1 -NET "button(0)" LOC = "f3" | IOSTANDARD = LVCMOS15; -NET "button(1)" LOC = "g6" | IOSTANDARD = LVCMOS15; -NET "button(2)" LOC = "f5" | IOSTANDARD = LVCMOS15; -NET "button(3)" LOC = "c1" | IOSTANDARD = LVCMOS15; - -NET "led(3)" LOC = "w15" | IOSTANDARD = LVCMOS25; -NET "led(2)" LOC = "d21" | IOSTANDARD = LVCMOS25; -NET "led(1)" LOC = "ab4" | IOSTANDARD = LVCMOS25; # normally used for ERRORN -NET "led(0)" LOC = "d17" | IOSTANDARD = LVCMOS25; # normally used for DSUACT diff --git a/designs/beaglebone-test1/leon3mp.vhd b/designs/beaglebone-test1/leon3mp.vhd deleted file mode 100644 --- a/designs/beaglebone-test1/leon3mp.vhd +++ /dev/null @@ -1,384 +0,0 @@ ------------------------------------------------------------------------------ --- LEON3 Xilinx SP605 Demonstration design --- Copyright (C) 2011 Jiri Gaisler, Aeroflex Gaisler ------------------------------------------------------------------------------- --- This file is a part of the GRLIB VHDL IP LIBRARY --- Copyright (C) 2003 - 2008, Gaisler Research --- Copyright (C) 2008 - 2011, Aeroflex Gaisler --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- - - -library ieee; -use ieee.std_logic_1164.all; -library grlib, techmap; -use grlib.amba.all; -use grlib.amba.all; -use grlib.stdlib.all; -use techmap.gencomp.all; -use techmap.allclkgen.all; -library gaisler; -use gaisler.memctrl.all; -use gaisler.leon3.all; -use gaisler.uart.all; -use gaisler.misc.all; -use gaisler.spi.all; -use gaisler.i2c.all; -use gaisler.can.all; -use gaisler.net.all; -use gaisler.jtag.all; -use gaisler.spacewire.all; --- pragma translate_off -use gaisler.sim.all; -library unisim; -use unisim.ODDR2; --- pragma translate_on - - -library esa; -use esa.memoryctrl.all; - -use work.config.all; - -entity leon3mp is - generic ( - fabtech : integer := CFG_FABTECH; - memtech : integer := CFG_MEMTECH; - padtech : integer := CFG_PADTECH; - clktech : integer := CFG_CLKTECH; - disas : integer := CFG_DISAS; -- Enable disassembly to console - dbguart : integer := CFG_DUART; -- Print UART on console - pclow : integer := CFG_PCLOW - ); - port ( - reset : in std_ulogic; - clk27 : in std_ulogic; -- 27 MHz clock - clk200p : in std_ulogic; -- 200 MHz clock - clk200n : in std_ulogic; -- 200 MHz clock - clk33 : in std_ulogic; -- 32 MHz clock from sysace - address : out std_logic_vector(23 downto 0); - data : inout std_logic_vector(15 downto 0); - oen : out std_ulogic; - writen : out std_ulogic; - romsn : out std_logic; - - - txd1 : out std_ulogic; -- UART1 tx data - rxd1 : in std_ulogic; -- UART1 rx data - ctsn1 : in std_ulogic; -- UART1 ctsn - rtsn1 : out std_ulogic; -- UART1 trsn - button : inout std_logic_vector(3 downto 0); -- I/O port - switch : inout std_logic_vector(3 downto 0); -- I/O port - led : out std_logic_vector(3 downto 0) -- I/O port - - ); -end; - -architecture rtl of leon3mp is - ---attribute syn_netlist_hierarchy : boolean; ---attribute syn_netlist_hierarchy of rtl : architecture is false; - -component ODDR2 - generic ( - DDR_ALIGNMENT : string := "NONE"; - INIT : bit := '0'; - SRTYPE : string := "SYNC" - ); - port ( - Q : out std_ulogic; - C0 : in std_ulogic; - C1 : in std_ulogic; - CE : in std_ulogic := 'H'; - D0 : in std_ulogic; - D1 : in std_ulogic; - R : in std_ulogic := 'L'; - S : in std_ulogic := 'L' - ); -end component; - -constant blength : integer := 12; -constant fifodepth : integer := 8; -constant maxahbm : integer := CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG; - -signal vcc, gnd : std_logic; -signal memi : memory_in_type; -signal memo : memory_out_type; -signal wpo : wprot_out_type; -signal sdi : sdctrl_in_type; -signal sdo : sdram_out_type; -signal sdo2, sdo3 : sdctrl_out_type; - -signal apbi : apb_slv_in_type; -signal apbo : apb_slv_out_vector := (others => apb_none); -signal ahbsi : ahb_slv_in_type; -signal ahbso : ahb_slv_out_vector := (others => ahbs_none); -signal ahbmi : ahb_mst_in_type; -signal vahbmi : ahb_mst_in_type; -signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); -signal vahbmo : ahb_mst_out_type; - -signal clkm, rstn, rstraw, sdclkl : std_ulogic; -signal clk_200 : std_ulogic; -signal clk25, clk40, clk65 : std_ulogic; - -signal cgi, cgi2 : clkgen_in_type; -signal cgo, cgo2 : clkgen_out_type; -signal u1i, u2i, dui : uart_in_type; -signal u1o, u2o, duo : uart_out_type; - -signal irqi : irq_in_vector(0 to CFG_NCPU-1); -signal irqo : irq_out_vector(0 to CFG_NCPU-1); - -signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); -signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); - -signal dsui : dsu_in_type; -signal dsuo : dsu_out_type; - -signal gpti : gptimer_in_type; -signal gpto : gptimer_out_type; - -signal gpioi : gpio_in_type; -signal gpioo : gpio_out_type; - -signal clklock, elock, ulock : std_ulogic; - -signal lock, calib_done, clkml, lclk, rst, ndsuact : std_ulogic; -signal tck, tckn, tms, tdi, tdo : std_ulogic; - - -constant BOARD_FREQ : integer := 33000; -- input frequency in KHz -constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz -constant IOAEN : integer := 0; -constant DDR2_FREQ : integer := 200000; -- DDR2 input frequency in KHz - -signal stati : ahbstat_in_type; - -signal fpi : grfpu_in_vector_type; -signal fpo : grfpu_out_vector_type; - -signal clk_sel : std_logic_vector(1 downto 0); -signal clkvga, clkvga_p, clkvga_n : std_ulogic; - -attribute keep : boolean; -attribute syn_keep : boolean; -attribute syn_preserve : boolean; -attribute syn_preserve of clkm : signal is true; -attribute keep of clkm : signal is true; - -begin - ----------------------------------------------------------------------- ---- Reset and Clock generation ------------------------------------- ----------------------------------------------------------------------- - - vcc <= '1'; gnd <= '0'; - cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; - - clk_pad : clkpad generic map (tech => padtech) port map (clk33, lclk); - clkgen0 : clkgen -- clock generator - generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN, - CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ) - port map (lclk, lclk, clkm, open, open, sdclkl, open, cgi, cgo, open, open, open); - - reset_pad : inpad generic map (tech => padtech) port map (reset, rst); - rst0 : rstgen -- reset generator - generic map (acthigh => 1) - port map (rst, clkm, lock, rstn, rstraw); - lock <= cgo.clklock and calib_done when CFG_MIG_DDR2 = 1 else cgo.clklock; - ----------------------------------------------------------------------- ---- AHB CONTROLLER -------------------------------------------------- ----------------------------------------------------------------------- - - ahb0 : ahbctrl -- AHB arbiter/multiplexer - generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, - rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, - ioen => IOAEN, nahbm => maxahbm, nahbs => 16) - port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); - ----------------------------------------------------------------------- ---- LEON3 processor and DSU ----------------------------------------- ----------------------------------------------------------------------- - - nosh : if CFG_GRFPUSH = 0 generate - nocpu: if CFG_NCPU>0 generate - cpu : for i in 0 to CFG_NCPU-1 generate - l3s : if CFG_LEON3FT_EN = 0 generate - u0 : leon3s -- LEON3 processor - generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, - 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, - CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, - CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, - CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, - CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, - CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE) - port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, - irqi(i), irqo(i), dbgi(i), dbgo(i)); - end generate; - end generate; - - led1_pad : odpad generic map (tech => padtech) port map (led(1), dbgo(0).error); - end generate; - end generate; - - - - dsugen : if CFG_DSU = 1 generate - dsu0 : dsu3 -- LEON3 Debug Support Unit - generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, - ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) - port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); - dsui.enable <= '1'; - dsui.break <= button(3); - dsuact_pad : outpad generic map (tech => padtech) port map (led(0), ndsuact); - ndsuact <= not dsuo.active; - end generate; - - nodsu : if CFG_DSU = 0 generate - dsuo.tstop <= '0'; dsuo.active <= '0'; ahbso(2) <= ahbs_none; - end generate; - ----------------------------------------------------------------------- ---- Memory controllers ---------------------------------------------- ----------------------------------------------------------------------- - - memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01"; - memi.brdyn <= '0'; memi.bexcn <= '1'; - - mctrl0 : mctrl generic map (hindex => 0, pindex => 0, - paddr => 0, srbanks => 2, ram8 => CFG_MCTRL_RAM8BIT, - ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN, - invclk => CFG_CLK_NOFB, sepbus => CFG_MCTRL_SEPBUS, - pageburst => CFG_MCTRL_PAGE, rammask => 0, iomask => 0) - port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo); - - addr_pad : outpadv generic map (width => 24, tech => padtech) - port map (address, memo.address(24 downto 1)); - roms_pad : outpad generic map (tech => padtech) - port map (romsn, memo.romsn(0)); - oen_pad : outpad generic map (tech => padtech) - port map (oen, memo.oen); - wri_pad : outpad generic map (tech => padtech) - port map (writen, memo.writen); - data_pad : iopadvv generic map (tech => padtech, width => 16) - port map (data(15 downto 0), memo.data(31 downto 16), - memo.vbdrive(31 downto 16), memi.data(31 downto 16)); - ------------------------------------------------------------------------ ---- Test report module ---------------------------------------------- ------------------------------------------------------------------------ - --- pragma translate_off - - test0 : ahbrep generic map (hindex => 6, haddr => 16#200#) - port map (rstn, clkm, ahbsi, ahbso(6)); - --- pragma translate_on - - - led(2) <= calib_done; - led(3) <= lock; - - noddr : if CFG_MIG_DDR2 = 0 generate lock <= '1'; end generate; - - - ----------------------------------------------------------------------- ---- APB Bridge and various periherals ------------------------------- ----------------------------------------------------------------------- - - apb0 : apbctrl -- AHB/APB bridge - generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16) - port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); - - ua1 : if CFG_UART1_ENABLE /= 0 generate - uart1 : apbuart -- UART 1 - generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, - fifosize => CFG_UART1_FIFO) - port map (rstn, clkm, apbi, apbo(1), u1i, u1o); - u1i.extclk <= '0'; - rxd1_pad : inpad generic map (tech => padtech) port map (rxd1, u1i.rxd); - txd1_pad : outpad generic map (tech => padtech) port map (txd1, u1o.txd); - cts1_pad : inpad generic map (tech => padtech) port map (ctsn1, u1i.ctsn); - rts1_pad : outpad generic map (tech => padtech) port map (rtsn1, u1o.rtsn); - end generate; - noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; - -nocpu: if CFG_NCPU>0 generate - irqctrl : if CFG_IRQ3_ENABLE /= 0 generate - irqctrl0 : irqmp -- interrupt controller - generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) - port map (rstn, clkm, apbi, apbo(2), irqo, irqi); - end generate; - irq3 : if CFG_IRQ3_ENABLE = 0 generate - x : for i in 0 to CFG_NCPU-1 generate - irqi(i).irl <= "0000"; - end generate; - apbo(2) <= apb_none; - end generate; - - gpt : if CFG_GPT_ENABLE /= 0 generate - timer0 : gptimer -- timer unit - generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, - sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, - nbits => CFG_GPT_TW, wdog => 0) - port map (rstn, clkm, apbi, apbo(3), gpti, gpto); - gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; - end generate; -end generate; - nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; - - - gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit - grgpio0: grgpio - generic map(pindex => 10, paddr => 10, imask => CFG_GRGPIO_IMASK, nbits => 7) - port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(10), - gpioi => gpioi, gpioo => gpioo); - pio_pads : for i in 0 to 3 generate - pio_pad : iopad generic map (tech => padtech) - port map (switch(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); - end generate; - pio_pads2 : for i in 4 to 6 generate - pio_pad : iopad generic map (tech => padtech) - port map (button(i-4), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); - end generate; - end generate; - - ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register - ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7, - nftslv => CFG_AHBSTATN) - port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); - end generate; - - - ----------------------------------------------------------------------- - --- Boot message ---------------------------------------------------- - ----------------------------------------------------------------------- - - -- pragma translate_off - x : report_version - generic map ( - msg1 => "LEON3 Xilinx SP605 Demonstration design", - msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100) - & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD), - msg3 => "Target technology: " & tech_table(fabtech) & ", memory library: " & tech_table(memtech), - mdel => 1 - ); - -- pragma translate_on - end; - diff --git a/designs/beaglebone-test1/leon3mp.xcf b/designs/beaglebone-test1/leon3mp.xcf deleted file mode 100644 --- a/designs/beaglebone-test1/leon3mp.xcf +++ /dev/null @@ -1,9 +0,0 @@ - -NET "clk27" TNM_NET = "clk27"; -TIMESPEC "TS_clk27" = PERIOD "clk27" 37.00 ns HIGH 50 %; - -NET "clk200p" TNM_NET = "clk200p"; -TIMESPEC "TS_clk200p" = PERIOD "clk200p" 5.00 ns HIGH 50 %; - -NET "erx_clk" TNM_NET = "erx_clk"; -TIMESPEC "TS_erx_clk" = PERIOD "erx_clk" 8.00 ns HIGH 50 %; diff --git a/designs/beaglebone-test1/leon3mp_envsettings.html b/designs/beaglebone-test1/leon3mp_envsettings.html deleted file mode 100644 --- a/designs/beaglebone-test1/leon3mp_envsettings.html +++ /dev/null @@ -1,403 +0,0 @@ -Xilinx System Settings Report - -
System Settings

-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Environment Settings
Environment Variablexstngdbuildmappar
LD_LIBRARY_PATH/opt/Xilinx/14.2/ISE_DS/ISE//lib/lin64:
/usr/lib64/alliance/lib
/opt/Xilinx/14.2/ISE_DS/ISE//lib/lin64:
/usr/lib64/alliance/lib
< data not available >< data not available >
PATH/opt/Xilinx/14.2/ISE_DS/ISE//bin/lin64:
/usr/lib64/qt-3.3/bin:
/usr/kerberos/sbin:
/usr/kerberos/bin:
/usr/lib64/ccache:
/usr/libexec/lightdm:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/sbin:
/usr/sbin:
/usr/lib64/alliance/bin:
/usr/libexec/sdcc:
/opt/sparc-elf-4.4.2/bin:
/usr/local/MATLAB/R2012b/bin:
/opt/gcc-arm-none-eabi-4_7-2012q4/bin:
/home/jeandet/.local/bin:
/home/jeandet/bin
/opt/Xilinx/14.2/ISE_DS/ISE//bin/lin64:
/usr/lib64/qt-3.3/bin:
/usr/kerberos/sbin:
/usr/kerberos/bin:
/usr/lib64/ccache:
/usr/libexec/lightdm:
/usr/local/bin:
/usr/bin:
/bin:
/usr/local/sbin:
/usr/sbin:
/usr/lib64/alliance/bin:
/usr/libexec/sdcc:
/opt/sparc-elf-4.4.2/bin:
/usr/local/MATLAB/R2012b/bin:
/opt/gcc-arm-none-eabi-4_7-2012q4/bin:
/home/jeandet/.local/bin:
/home/jeandet/bin
< data not available >< data not available >
XILINX/opt/Xilinx/14.2/ISE_DS/ISE//opt/Xilinx/14.2/ISE_DS/ISE/< data not available >< data not available >
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn leon3mp.prj 
-ofn leon3mp 
-ofmt NGCNGC
-p xc6slx45-3-fgg484 
-top leon3mp 
-opt_modeOptimization GoalSpeedSpeed
-opt_levelOptimization Effort11
-powerPower ReductionNONo
-iucUse synthesis Constraints FileNONo
-keep_hierarchyKeep HierarchyNoNo
-netlist_hierarchyNetlist HierarchyAs_OptimizedAs_Optimized
-rtlviewGenerate RTL SchematicYesNo
-glob_optGlobal Optimization GoalAllClockNetsAllClockNets
-read_coresRead CoresYESYes
-write_timing_constraintsWrite Timing ConstraintsNONo
-cross_clock_analysisCross Clock AnalysisNONo
-bus_delimiterBus Delimiter()<>
-slice_utilization_ratioSlice Utilization Ratio100100
-bram_utilization_ratioBRAM Utilization Ratio100100
-dsp_utilization_ratioDSP Utilization Ratio100100
-reduce_control_sets AutoAuto
-fsm_extract NOYes
-fsm_style LUTLUT
-ram_extract YesYes
-ram_style AutoAuto
-rom_extract YesYes
-shreg_extract YESYes
-rom_style AutoAuto
-auto_bram_packing NONo
-resource_sharing YESYes
-async_to_sync NONo
-use_dsp48 AutoAuto
-iobuf YESYes
-max_fanout 100000100000
-bufg 1616
-register_duplication YESYes
-register_balancing NoNo
-optimize_primitives NONo
-use_clock_enable AutoAuto
-use_sync_set AutoAuto
-use_sync_reset AutoAuto
-iob TrueAuto
-equivalent_register_removal YESYes
-slice_utilization_ratio_maxmargin 50
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Translation Property Settings
Switch NameProperty NameValueDefault Value
-aulAllow Unmatched LOC Constraintstruefalse
-intstyle iseNone
-dd _ngoNone
-p xc6slx45-fgg484-3None
-sdMacro Search Path../../netlists/xilinx/Spartan3None
-uc leon3mp.ucfNone
-
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedIntel(R) Core(TM) i5-2557M CPU @ 1.70GHz/1701.000 MHzIntel(R) Core(TM) i5-2557M CPU @ 1.70GHz/800.000 MHz<  data not available  ><  data not available  >
Hostpc-de-jeandet3.lab-lpp.localpc-de-jeandet3.lab-lpp.local<  data not available  ><  data not available  >
OS NameFedoraFedora<  data not available  ><  data not available  >
OS ReleaseFedora release 18 (Spherical Cow)Fedora release 18 (Spherical Cow)<  data not available  ><  data not available  >
- \ No newline at end of file diff --git a/designs/beaglebone-test1/linkprom b/designs/beaglebone-test1/linkprom deleted file mode 100644 --- a/designs/beaglebone-test1/linkprom +++ /dev/null @@ -1,155 +0,0 @@ -/* linkcmds - * - * $Id: linkcmds,v 1.8.2.1 2000/05/24 17:06:38 joel Exp $ - */ - -OUTPUT_ARCH(sparc) -__DYNAMIC = 0; - -/* - * The memory map looks like this: - * +--------------------+ <- low memory - * | .text | - * | etext | - * | ctor list | the ctor and dtor lists are for - * | dtor list | C++ support - * | _endtext | - * +--------------------+ - * | .data | initialized data goes here - * | _sdata | - * | _edata | - * +--------------------+ - * | .bss | - * | __bss_start | start of bss, cleared by crt0 - * | _end | start of heap, used by sbrk() - * +--------------------+ - * | heap space | - * | _ENDHEAP | - * | stack space | - * | __stack | top of stack - * +--------------------+ <- high memory - */ - - -/* Default values, can be overridden */ - -_PROM_SIZE = 2M; -_RAM_SIZE = 4M; - -_RAM_START = 0x02000000; -_RAM_END = _RAM_START + _RAM_SIZE; - -_PROM_START = 0x00000000; -_PROM_END = _PROM_START + _PROM_SIZE; - -/* - * Alternate names without leading _. - */ - -PROM_START = _PROM_START; -PROM_SIZE = _PROM_SIZE; -PROM_END = _PROM_END; - -RAM_START = _RAM_START; -RAM_SIZE = _RAM_SIZE; -RAM_END = _RAM_END; - -_LEON_REG = 0x80000000; -LEON_REG = 0x80000000; - -/* these are the maximum values */ - -MEMORY -{ - rom : ORIGIN = 0x00000000, LENGTH = 16M - ram : ORIGIN = 0x40000000, LENGTH = 1024M -} - -SECTIONS -{ - .text : - { - CREATE_OBJECT_SYMBOLS - text_start = .; - _text_start = .; - *(.text) - . = ALIGN (16); - - *(.eh_frame) - . = ALIGN (16); - - *(.gnu.linkonce.t*) - - /* - * C++ constructors - */ - __CTOR_LIST__ = .; - LONG((__CTOR_END__ - __CTOR_LIST__) / 4 - 2) - *(.ctors) - LONG(0) - __CTOR_END__ = .; - __DTOR_LIST__ = .; - LONG((__DTOR_END__ - __DTOR_LIST__) / 4 - 2) - *(.dtors) - LONG(0) - __DTOR_END__ = .; - - _rodata_start = . ; - *(.rodata*) - *(.gnu.linkonce.r*) - _erodata = ALIGN( 0x10 ) ; - - etext = ALIGN(0x10); - _etext = .; - *(.init) - *(.fini) - *(.lit) - *(.shdata) - . = ALIGN (16); - _endtext = .; - } > rom - .dynamic : { *(.dynamic) } >ram - .got : { *(.got) } >ram - .plt : { *(.plt) } >ram - .hash : { *(.hash) } >ram - .dynrel : { *(.dynrel) } >ram - .dynsym : { *(.dynsym) } >ram - .dynstr : { *(.dynstr) } >ram - .hash : { *(.hash) } >ram - .data : - { - data_start = .; - _data_start = .; - _sdata = . ; - *(.data) - *(.gnu.linkonce.d*) - *(.gcc_except_table) - . = ALIGN(0x10); - edata = .; - _edata = .; - } > ram - .shbss : - { - *(.shbss) - } > ram - .bss : - { - __bss_start = ALIGN(0x8); - _bss_start = .; - bss_start = .; - *(.bss) - *(COMMON) - end = .; - _end = ALIGN(0x8); - __end = ALIGN(0x8); - } > ram - .jcr . (NOLOAD) : { *(.jcr) } - .stab . (NOLOAD) : - { - [ .stab ] - } - .stabstr . (NOLOAD) : - { - [ .stabstr ] - } -} diff --git a/designs/beaglebone-test1/mig.diff b/designs/beaglebone-test1/mig.diff deleted file mode 100644 --- a/designs/beaglebone-test1/mig.diff +++ /dev/null @@ -1,145 +0,0 @@ -diff -Naur mig.org/mig_38/user_design/rtl/memc3_infrastructure.vhd mig/mig_38/user_design/rtl/memc3_infrastructure.vhd ---- mig.org/mig_38/user_design/rtl/memc3_infrastructure.vhd 2011-12-30 20:07:53.000000000 +0100 -+++ mig/mig_38/user_design/rtl/memc3_infrastructure.vhd 2012-01-02 14:05:44.000000000 +0100 -@@ -95,7 +95,9 @@ - mcb_drp_clk : out std_logic; - pll_ce_0 : out std_logic; - pll_ce_90 : out std_logic; -- pll_lock : out std_logic -+ pll_lock : out std_logic; -+ clk_125 : out std_logic; -+ clk_50 : out std_logic - - ); - end entity; -@@ -121,6 +123,8 @@ - signal clk0_bufg_in : std_logic; - signal mcb_drp_clk_bufg_in : std_logic; - signal clkfbout_clkfbin : std_logic; -+ signal clkfbout_clkfbin2 : std_logic; -+ signal clk_50i, clk_125i : std_logic; - signal rst_tmp : std_logic; - signal sys_clk_ibufg : std_logic; - signal sys_rst : std_logic; -@@ -330,5 +334,73 @@ - LOCK => bufpll_mcb_locked - ); - -+ -+ -- second PLL to generate 125 MHz for giga-bit MAC and 50 MHz for VGA -+ u_pll_adv2 : PLL_ADV -+ generic map -+ ( -+ BANDWIDTH => "OPTIMIZED", -+ CLKIN1_PERIOD => CLK_PERIOD_NS, -+ CLKIN2_PERIOD => CLK_PERIOD_NS, -+ CLKOUT0_DIVIDE => 8, -- 125 MHz -+ CLKOUT1_DIVIDE => 20, -- 50 MHz -+ CLKOUT2_DIVIDE => C_CLKOUT2_DIVIDE, -+ CLKOUT3_DIVIDE => C_CLKOUT3_DIVIDE, -+ CLKOUT4_DIVIDE => 1, -+ CLKOUT5_DIVIDE => 1, -+ CLKOUT0_PHASE => 0.000, -+ CLKOUT1_PHASE => 0.000, -+ CLKOUT2_PHASE => 0.000, -+ CLKOUT3_PHASE => 0.000, -+ CLKOUT4_PHASE => 0.000, -+ CLKOUT5_PHASE => 0.000, -+ CLKOUT0_DUTY_CYCLE => 0.500, -+ CLKOUT1_DUTY_CYCLE => 0.500, -+ CLKOUT2_DUTY_CYCLE => 0.500, -+ CLKOUT3_DUTY_CYCLE => 0.500, -+ CLKOUT4_DUTY_CYCLE => 0.500, -+ CLKOUT5_DUTY_CYCLE => 0.500, -+ SIM_DEVICE => "SPARTAN6", -+ COMPENSATION => "INTERNAL", -+ DIVCLK_DIVIDE => 1, -+ CLKFBOUT_MULT => 5, -- 1000 MHz -+ CLKFBOUT_PHASE => 0.0, -+ REF_JITTER => 0.005000 -+ ) -+ port map -+ ( -+ CLKFBIN => clkfbout_clkfbin2, -+ CLKINSEL => '1', -+ CLKIN1 => sys_clk_ibufg, -+ CLKIN2 => '0', -+ DADDR => (others => '0'), -+ DCLK => '0', -+ DEN => '0', -+ DI => (others => '0'), -+ DWE => '0', -+ REL => '0', -+ RST => sys_rst, -+ CLKFBDCM => open, -+ CLKFBOUT => clkfbout_clkfbin2, -+ CLKOUTDCM0 => open, -+ CLKOUTDCM1 => open, -+ CLKOUTDCM2 => open, -+ CLKOUTDCM3 => open, -+ CLKOUTDCM4 => open, -+ CLKOUTDCM5 => open, -+ CLKOUT0 => clk_125i, -+ CLKOUT1 => clk_50i, -+ CLKOUT2 => open, -+ CLKOUT3 => open, -+ CLKOUT4 => open, -+ CLKOUT5 => open, -+ DO => open, -+ DRDY => open, -+ LOCKED => open -+ ); -+ -+ U_BUFG_125 : BUFG port map ( O => clk_125, I => clk_125i); -+ U_BUFG_50 : BUFG port map ( O => clk_50, I => clk_50i); -+ - end architecture syn; - -diff -Naur mig.org/mig_38/user_design/rtl/mig_38.vhd mig/mig_38/user_design/rtl/mig_38.vhd ---- mig.org/mig_38/user_design/rtl/mig_38.vhd 2011-12-30 20:07:53.000000000 +0100 -+++ mig/mig_38/user_design/rtl/mig_38.vhd 2012-01-02 12:42:40.000000000 +0100 -@@ -165,7 +165,9 @@ - c3_p2_rd_empty : out std_logic; - c3_p2_rd_count : out std_logic_vector(6 downto 0); - c3_p2_rd_overflow : out std_logic; -- c3_p2_rd_error : out std_logic -+ c3_p2_rd_error : out std_logic; -+ clk_125 : out std_logic; -+ clk_50 : out std_logic - ); - end mig_38; - -@@ -198,8 +200,9 @@ - pll_ce_0 : out std_logic; - pll_ce_90 : out std_logic; - pll_lock : out std_logic; -- mcb_drp_clk : out std_logic -- -+ mcb_drp_clk : out std_logic; -+ clk_125 : out std_logic; -+ clk_50 : out std_logic - ); - end component; - -@@ -363,8 +366,8 @@ - constant C3_CLKOUT1_DIVIDE : integer := 1; - constant C3_CLKOUT2_DIVIDE : integer := 16; - constant C3_CLKOUT3_DIVIDE : integer := 8; -- constant C3_CLKFBOUT_MULT : integer := 2; -- constant C3_DIVCLK_DIVIDE : integer := 1; -+ constant C3_CLKFBOUT_MULT : integer := 2*5; -+ constant C3_DIVCLK_DIVIDE : integer := 1*3; - constant C3_INCLK_PERIOD : integer := ((C3_MEMCLK_PERIOD * C3_CLKFBOUT_MULT) / (C3_DIVCLK_DIVIDE * C3_CLKOUT0_DIVIDE * 2)); - constant C3_ARB_NUM_TIME_SLOTS : integer := 12; - constant C3_ARB_TIME_SLOT_0 : bit_vector(5 downto 0) := o"02"; -@@ -485,7 +488,9 @@ - pll_ce_0 => c3_pll_ce_0, - pll_ce_90 => c3_pll_ce_90, - pll_lock => c3_pll_lock, -- mcb_drp_clk => c3_mcb_drp_clk -+ mcb_drp_clk => c3_mcb_drp_clk, -+ clk_125 => clk_125, -+ clk_50 => clk_50 - ); - - diff --git a/designs/beaglebone-test1/mig/coregen.cgc b/designs/beaglebone-test1/mig/coregen.cgc deleted file mode 100644 --- a/designs/beaglebone-test1/mig/coregen.cgc +++ /dev/null @@ -1,984 +0,0 @@ - - - xilinx.com - CoreGen - mig - 1.0 - - - mig_38 - - - mig_38 - ./mig_38/user_design/mig.prj - - - - - mig - ./ - ./tmp/ - ./tmp/_cg/ - - - xc6slx45 - spartan6 - fgg484 - -3 - - - BusFormatAngleBracketNotRipped - VHDL - true - Other - false - false - false - Ngc - false - - - Behavioral - VHDL - false - - - 2012-07-21+06:31 - - - - - model_parameter_resolution_generator - - - ip_xco_generator - - ./mig_38.xco - xco - Mon Apr 08 18:00:36 GMT 2013 - 0x2360A9DA - generationID_4013899584 - - - - implementation_source_generator - - ./mig_38/docs/ug388.pdf - ignore - pdf - Mon Apr 08 18:00:36 GMT 2013 - 0x90FCF0D1 - generationID_4013899584 - - - ./mig_38/docs/ug416.pdf - ignore - pdf - Mon Apr 08 18:00:36 GMT 2013 - 0x5A2D5D89 - generationID_4013899584 - - - ./mig_38/example_design/datasheet.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0x3B3D8F7B - generationID_4013899584 - - - ./mig_38/example_design/mig.prj - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x74598905 - generationID_4013899584 - - - ./mig_38/example_design/par/create_ise.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x2E26C0EA - generationID_4013899584 - - - ./mig_38/example_design/par/example_top.ucf - ignore - ucf - Mon Apr 08 18:00:37 GMT 2013 - 0x4598DDFC - generationID_4013899584 - - - ./mig_38/example_design/par/icon_coregen.xco - ignore - xco - Mon Apr 08 18:00:37 GMT 2013 - 0xA685087A - generationID_4013899584 - - - ./mig_38/example_design/par/ila_coregen.xco - ignore - xco - Mon Apr 08 18:00:37 GMT 2013 - 0x09D6FF45 - generationID_4013899584 - - - ./mig_38/example_design/par/ise_flow.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x8D1A0F1F - generationID_4013899584 - - - ./mig_38/example_design/par/ise_run.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0xEC7E774F - generationID_4013899584 - - - ./mig_38/example_design/par/makeproj.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xCFE64DF9 - generationID_4013899584 - - - ./mig_38/example_design/par/mem_interface_top.ut - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xCDD0105F - generationID_4013899584 - - - ./mig_38/example_design/par/readme.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0xCA0E2934 - generationID_4013899584 - - - ./mig_38/example_design/par/rem_files.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xC692A20F - generationID_4013899584 - - - ./mig_38/example_design/par/set_ise_prop.tcl - ignore - tcl - Mon Apr 08 18:00:37 GMT 2013 - 0x3307FF85 - generationID_4013899584 - - - ./mig_38/example_design/par/vio_coregen.xco - ignore - xco - Mon Apr 08 18:00:37 GMT 2013 - 0x273CCC27 - generationID_4013899584 - - - ./mig_38/example_design/rtl/example_top.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x79C4A1D0 - generationID_4013899584 - - - ./mig_38/example_design/rtl/iodrp_controller.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x5A2197DD - generationID_4013899584 - - - ./mig_38/example_design/rtl/iodrp_mcb_controller.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x69237401 - generationID_4013899584 - - - ./mig_38/example_design/rtl/mcb_raw_wrapper.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xA3623325 - generationID_4013899584 - - - ./mig_38/example_design/rtl/mcb_soft_calibration.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x9B8E0B5E - generationID_4013899584 - - - ./mig_38/example_design/rtl/mcb_soft_calibration_top.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xC37A23BB - generationID_4013899584 - - - ./mig_38/example_design/rtl/memc3_infrastructure.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x8EA90667 - generationID_4013899584 - - - ./mig_38/example_design/rtl/memc3_tb_top.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0xDB511AFE - generationID_4013899584 - - - ./mig_38/example_design/rtl/memc3_wrapper.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x301ED9DD - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/afifo.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x26568D9A - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/cmd_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0xC6EF0126 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/cmd_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x893B0F01 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/data_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x6E88FB8E - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/init_mem_pattern_ctr.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x26DEA717 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/mcb_flow_control.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0xB9D71414 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/mcb_traffic_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x125A1D59 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/rd_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x50A90B14 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/read_data_path.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0xDFA5DAF7 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/read_posted_fifo.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0xBC61DAC4 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/sp6_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0xA9170C59 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/tg_status.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x7B717096 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/v6_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x24F3E550 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/wr_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x91BCA941 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/write_data_path.vhd - ignore - vhdl - Mon Apr 08 18:00:36 GMT 2013 - 0x391A16CE - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/ddr3_model_c3.v - ignore - verilog - Mon Apr 08 18:00:37 GMT 2013 - 0x4888E9C7 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/ddr3_model_parameters_c3.vh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xFCAE2B71 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/isim.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x3C08CE2A - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/isim.tcl - ignore - tcl - Mon Apr 08 18:00:37 GMT 2013 - 0x42CDE72E - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/mig_38.prj - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x4C74E1F0 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/readme.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0xFF44EBCB - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/sim.do - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x7B7530ED - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/sim_tb_top.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x708A0AE5 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/timing_sim.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x68A01DAC - generationID_4013899584 - - - ./mig_38/example_design/synth/example_top.lso - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xEEDE3797 - generationID_4013899584 - - - ./mig_38/example_design/synth/example_top.prj - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x45A82CBA - generationID_4013899584 - - - ./mig_38/example_design/synth/mem_interface_top_synp.sdc - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x53C2426B - generationID_4013899584 - - - ./mig_38/example_design/synth/script_synp.tcl - ignore - tcl - Mon Apr 08 18:00:37 GMT 2013 - 0x26385651 - generationID_4013899584 - - - ./mig_38/user_design/datasheet.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0xE0532100 - generationID_4013899584 - - - ./mig_38/user_design/mig.prj - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x74598905 - generationID_4013899584 - - - ./mig_38/user_design/par/create_ise.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x2E26C0EA - generationID_4013899584 - - - ./mig_38/user_design/par/icon_coregen.xco - ignore - xco - Mon Apr 08 18:00:37 GMT 2013 - 0xA685087A - generationID_4013899584 - - - ./mig_38/user_design/par/ila_coregen.xco - ignore - xco - Mon Apr 08 18:00:37 GMT 2013 - 0x09D6FF45 - generationID_4013899584 - - - ./mig_38/user_design/par/ise_flow.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x2A709F1E - generationID_4013899584 - - - ./mig_38/user_design/par/ise_run.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0x87308F55 - generationID_4013899584 - - - ./mig_38/user_design/par/makeproj.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xCFE64DF9 - generationID_4013899584 - - - ./mig_38/user_design/par/mem_interface_top.ut - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xCDD0105F - generationID_4013899584 - - - ./mig_38/user_design/par/mig_38.ucf - ucf - Mon Apr 08 18:00:37 GMT 2013 - 0x092336D9 - generationID_4013899584 - - - ./mig_38/user_design/par/readme.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0x14A89A1B - generationID_4013899584 - - - ./mig_38/user_design/par/rem_files.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x1A866057 - generationID_4013899584 - - - ./mig_38/user_design/par/set_ise_prop.tcl - ignore - tcl - Mon Apr 08 18:00:37 GMT 2013 - 0x21AE7E61 - generationID_4013899584 - - - ./mig_38/user_design/par/vio_coregen.xco - ignore - xco - Mon Apr 08 18:00:37 GMT 2013 - 0x273CCC27 - generationID_4013899584 - - - ./mig_38/user_design/rtl/iodrp_controller.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x5A2197DD - generationID_4013899584 - - - ./mig_38/user_design/rtl/iodrp_mcb_controller.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x69237401 - generationID_4013899584 - - - ./mig_38/user_design/rtl/mcb_raw_wrapper.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xA3623325 - generationID_4013899584 - - - ./mig_38/user_design/rtl/mcb_soft_calibration.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x9B8E0B5E - generationID_4013899584 - - - ./mig_38/user_design/rtl/mcb_soft_calibration_top.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xC37A23BB - generationID_4013899584 - - - ./mig_38/user_design/rtl/memc3_infrastructure.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x8EA90667 - generationID_4013899584 - - - ./mig_38/user_design/rtl/memc3_wrapper.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x301ED9DD - generationID_4013899584 - - - ./mig_38/user_design/rtl/mig_38.vhd - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x9CF2B6E1 - generationID_4013899584 - - - ./mig_38/user_design/sim/afifo.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x26568D9A - generationID_4013899584 - - - ./mig_38/user_design/sim/cmd_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xC6EF0126 - generationID_4013899584 - - - ./mig_38/user_design/sim/cmd_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x893B0F01 - generationID_4013899584 - - - ./mig_38/user_design/sim/data_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x6E88FB8E - generationID_4013899584 - - - ./mig_38/user_design/sim/ddr3_model_c3.v - ignore - verilog - Mon Apr 08 18:00:37 GMT 2013 - 0x4888E9C7 - generationID_4013899584 - - - ./mig_38/user_design/sim/ddr3_model_parameters_c3.vh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xFCAE2B71 - generationID_4013899584 - - - ./mig_38/user_design/sim/init_mem_pattern_ctr.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x26DEA717 - generationID_4013899584 - - - ./mig_38/user_design/sim/isim.sh - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x3C08CE2A - generationID_4013899584 - - - ./mig_38/user_design/sim/isim.tcl - ignore - tcl - Mon Apr 08 18:00:37 GMT 2013 - 0x42CDE72E - generationID_4013899584 - - - ./mig_38/user_design/sim/mcb_flow_control.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xB9D71414 - generationID_4013899584 - - - ./mig_38/user_design/sim/mcb_traffic_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x125A1D59 - generationID_4013899584 - - - ./mig_38/user_design/sim/memc3_tb_top.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xDB511AFE - generationID_4013899584 - - - ./mig_38/user_design/sim/mig_38.prj - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x095DF099 - generationID_4013899584 - - - ./mig_38/user_design/sim/rd_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x50A90B14 - generationID_4013899584 - - - ./mig_38/user_design/sim/read_data_path.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xDFA5DAF7 - generationID_4013899584 - - - ./mig_38/user_design/sim/read_posted_fifo.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xBC61DAC4 - generationID_4013899584 - - - ./mig_38/user_design/sim/readme.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0xFF44EBCB - generationID_4013899584 - - - ./mig_38/user_design/sim/sim.do - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x71356E79 - generationID_4013899584 - - - ./mig_38/user_design/sim/sim_tb_top.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x07646316 - generationID_4013899584 - - - ./mig_38/user_design/sim/sp6_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0xA9170C59 - generationID_4013899584 - - - ./mig_38/user_design/sim/tg_status.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x7B717096 - generationID_4013899584 - - - ./mig_38/user_design/sim/v6_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x24F3E550 - generationID_4013899584 - - - ./mig_38/user_design/sim/wr_data_gen.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x91BCA941 - generationID_4013899584 - - - ./mig_38/user_design/sim/write_data_path.vhd - ignore - vhdl - Mon Apr 08 18:00:37 GMT 2013 - 0x391A16CE - generationID_4013899584 - - - ./mig_38/user_design/synth/mem_interface_top_synp.sdc - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0x1F855903 - generationID_4013899584 - - - ./mig_38/user_design/synth/mig_38.lso - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xEEDE3797 - generationID_4013899584 - - - ./mig_38/user_design/synth/mig_38.prj - ignore - unknown - Mon Apr 08 18:00:37 GMT 2013 - 0xB356DCEF - generationID_4013899584 - - - ./mig_38/user_design/synth/script_synp.tcl - ignore - tcl - Mon Apr 08 18:00:37 GMT 2013 - 0x2F81C446 - generationID_4013899584 - - - ./mig_38.vho - vho - Mon Apr 08 18:00:37 GMT 2013 - 0x01F45477 - generationID_4013899584 - - - ./mig_38_readme.txt - ignore - txt - Mon Apr 08 18:00:37 GMT 2013 - 0xB03C2FD6 - generationID_4013899584 - - - ./mig_38_xmdf.tcl - ignore - tcl - Mon Apr 08 18:00:37 GMT 2013 - 0x46DC07F2 - generationID_4013899584 - - - - instantiation_template_generator - - - asy_generator - - - xmdf_generator - - - ise_generator - - ./mig_38.gise - ignore - gise - Mon Apr 08 18:00:41 GMT 2013 - 0xDB2406DD - generationID_4013899584 - - - ./mig_38.xise - ignore - xise - Mon Apr 08 18:00:41 GMT 2013 - 0x34082B39 - generationID_4013899584 - - - - deliver_readme_generator - - - flist_generator - - ./mig_38_flist.txt - ignore - txtFlist - txt - Mon Apr 08 18:00:41 GMT 2013 - 0x7CF73606 - generationID_4013899584 - - - - - - - - - - mig - ./ - ./tmp/ - ./tmp/_cg/ - - - xc6slx45t - spartan6 - fgg484 - -3 - - - BusFormatParenNotRipped - VHDL - true - Synplicity - false - false - false - Ngc - false - - - Behavioral - VHDL - false - - - - - diff --git a/designs/beaglebone-test1/mig/coregen.cgp b/designs/beaglebone-test1/mig/coregen.cgp deleted file mode 100644 --- a/designs/beaglebone-test1/mig/coregen.cgp +++ /dev/null @@ -1,22 +0,0 @@ -# Date: Thu Dec 29 23:13:08 2011 - -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatParenNotRipped -SET createndf = false -SET designentry = VHDL -SET device = xc6slx45t -SET devicefamily = spartan6 -SET flowvendor = Synplicity -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fgg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = false -SET vhdlsim = true -SET workingdirectory = ./tmp/ - -# CRC: 1691a6bd diff --git a/designs/beaglebone-test1/mig/mig.cgc b/designs/beaglebone-test1/mig/mig.cgc deleted file mode 100644 --- a/designs/beaglebone-test1/mig/mig.cgc +++ /dev/null @@ -1,1033 +0,0 @@ - - - xilinx.com - project - mig - 1.0 - - - mig_392 - - - mig_392 - ./mig.prj - - - - - mig - ./ - ./tmp/ - ./tmp/_cg/ - - - xc6slx45t - spartan6 - fgg484 - -3 - - - BusFormatParenNotRipped - VHDL - true - Synplicity - false - false - false - Ngc - false - - - Behavioral - VHDL - false - - - - - - mig_38 - - - mig_38 - ./mig_38/user_design/mig.prj - - - - - mig - ./ - ./tmp/ - ./tmp/_cg/ - - - xc6slx45 - spartan6 - fgg484 - -3 - - - BusFormatAngleBracketNotRipped - VHDL - true - Other - false - false - false - Ngc - false - - - Behavioral - VHDL - false - - - 2012-07-21+06:31 - - - - - apply_current_project_options_generator - - - customization_generator - - ./mig_38/docs/ug388.pdf - ignore - pdf - Mon Apr 08 17:56:55 GMT 2013 - 0x90FCF0D1 - generationID_4013899584 - - - ./mig_38/docs/ug416.pdf - ignore - pdf - Mon Apr 08 17:56:55 GMT 2013 - 0x5A2D5D89 - generationID_4013899584 - - - ./mig_38/example_design/datasheet.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0x3B3D8F7B - generationID_4013899584 - - - ./mig_38/example_design/mig.prj - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x74598905 - generationID_4013899584 - - - ./mig_38/example_design/par/create_ise.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x2E26C0EA - generationID_4013899584 - - - ./mig_38/example_design/par/example_top.ucf - ignore - ucf - Mon Apr 08 17:56:55 GMT 2013 - 0xEC0BF13A - generationID_4013899584 - - - ./mig_38/example_design/par/icon_coregen.xco - ignore - xco - Mon Apr 08 17:56:55 GMT 2013 - 0xA685087A - generationID_4013899584 - - - ./mig_38/example_design/par/ila_coregen.xco - ignore - xco - Mon Apr 08 17:56:55 GMT 2013 - 0x09D6FF45 - generationID_4013899584 - - - ./mig_38/example_design/par/ise_flow.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x8D1A0F1F - generationID_4013899584 - - - ./mig_38/example_design/par/ise_run.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0xEC7E774F - generationID_4013899584 - - - ./mig_38/example_design/par/makeproj.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xCFE64DF9 - generationID_4013899584 - - - ./mig_38/example_design/par/mem_interface_top.ut - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xCDD0105F - generationID_4013899584 - - - ./mig_38/example_design/par/readme.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0xCA0E2934 - generationID_4013899584 - - - ./mig_38/example_design/par/rem_files.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xC692A20F - generationID_4013899584 - - - ./mig_38/example_design/par/set_ise_prop.tcl - ignore - tcl - Mon Apr 08 17:56:55 GMT 2013 - 0x3307FF85 - generationID_4013899584 - - - ./mig_38/example_design/par/vio_coregen.xco - ignore - xco - Mon Apr 08 17:56:55 GMT 2013 - 0x273CCC27 - generationID_4013899584 - - - ./mig_38/example_design/rtl/example_top.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x79C4A1D0 - generationID_4013899584 - - - ./mig_38/example_design/rtl/iodrp_controller.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x5A2197DD - generationID_4013899584 - - - ./mig_38/example_design/rtl/iodrp_mcb_controller.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x69237401 - generationID_4013899584 - - - ./mig_38/example_design/rtl/mcb_raw_wrapper.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xA3623325 - generationID_4013899584 - - - ./mig_38/example_design/rtl/mcb_soft_calibration.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x9B8E0B5E - generationID_4013899584 - - - ./mig_38/example_design/rtl/mcb_soft_calibration_top.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xC37A23BB - generationID_4013899584 - - - ./mig_38/example_design/rtl/memc3_infrastructure.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x8EA90667 - generationID_4013899584 - - - ./mig_38/example_design/rtl/memc3_tb_top.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xDB511AFE - generationID_4013899584 - - - ./mig_38/example_design/rtl/memc3_wrapper.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x301ED9DD - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/afifo.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x26568D9A - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/cmd_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xC6EF0126 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/cmd_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x893B0F01 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/data_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x6E88FB8E - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/init_mem_pattern_ctr.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x26DEA717 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/mcb_flow_control.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xB9D71414 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/mcb_traffic_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x125A1D59 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/rd_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x50A90B14 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/read_data_path.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xDFA5DAF7 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/read_posted_fifo.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xBC61DAC4 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/sp6_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xA9170C59 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/tg_status.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x7B717096 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/v6_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x24F3E550 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/wr_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x91BCA941 - generationID_4013899584 - - - ./mig_38/example_design/rtl/traffic_gen/write_data_path.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x391A16CE - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/ddr3_model_c3.v - ignore - verilog - Mon Apr 08 17:56:55 GMT 2013 - 0x4888E9C7 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/ddr3_model_parameters_c3.vh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xFCAE2B71 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/isim.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x3C08CE2A - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/isim.tcl - ignore - tcl - Mon Apr 08 17:56:55 GMT 2013 - 0x42CDE72E - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/mig_38.prj - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x4C74E1F0 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/readme.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0xFF44EBCB - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/sim.do - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x7B7530ED - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/sim_tb_top.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x708A0AE5 - generationID_4013899584 - - - ./mig_38/example_design/sim/functional/timing_sim.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x68A01DAC - generationID_4013899584 - - - ./mig_38/example_design/synth/example_top.lso - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xEEDE3797 - generationID_4013899584 - - - ./mig_38/example_design/synth/example_top.prj - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x45A82CBA - generationID_4013899584 - - - ./mig_38/example_design/synth/mem_interface_top_synp.sdc - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x53C2426B - generationID_4013899584 - - - ./mig_38/example_design/synth/script_synp.tcl - ignore - tcl - Mon Apr 08 17:56:55 GMT 2013 - 0x26385651 - generationID_4013899584 - - - ./mig_38/user_design/datasheet.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0xE0532100 - generationID_4013899584 - - - ./mig_38/user_design/mig.prj - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x74598905 - generationID_4013899584 - - - ./mig_38/user_design/par/create_ise.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x2E26C0EA - generationID_4013899584 - - - ./mig_38/user_design/par/icon_coregen.xco - ignore - xco - Mon Apr 08 17:56:55 GMT 2013 - 0xA685087A - generationID_4013899584 - - - ./mig_38/user_design/par/ila_coregen.xco - ignore - xco - Mon Apr 08 17:56:55 GMT 2013 - 0x09D6FF45 - generationID_4013899584 - - - ./mig_38/user_design/par/ise_flow.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x2A709F1E - generationID_4013899584 - - - ./mig_38/user_design/par/ise_run.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0x87308F55 - generationID_4013899584 - - - ./mig_38/user_design/par/makeproj.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xCFE64DF9 - generationID_4013899584 - - - ./mig_38/user_design/par/mem_interface_top.ut - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xCDD0105F - generationID_4013899584 - - - ./mig_38/user_design/par/mig_38.ucf - ucf - Mon Apr 08 17:56:55 GMT 2013 - 0x37B6E71C - generationID_4013899584 - - - ./mig_38/user_design/par/readme.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0x14A89A1B - generationID_4013899584 - - - ./mig_38/user_design/par/rem_files.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x1A866057 - generationID_4013899584 - - - ./mig_38/user_design/par/set_ise_prop.tcl - ignore - tcl - Mon Apr 08 17:56:55 GMT 2013 - 0x21AE7E61 - generationID_4013899584 - - - ./mig_38/user_design/par/vio_coregen.xco - ignore - xco - Mon Apr 08 17:56:55 GMT 2013 - 0x273CCC27 - generationID_4013899584 - - - ./mig_38/user_design/rtl/iodrp_controller.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x5A2197DD - generationID_4013899584 - - - ./mig_38/user_design/rtl/iodrp_mcb_controller.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x69237401 - generationID_4013899584 - - - ./mig_38/user_design/rtl/mcb_raw_wrapper.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xA3623325 - generationID_4013899584 - - - ./mig_38/user_design/rtl/mcb_soft_calibration.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x9B8E0B5E - generationID_4013899584 - - - ./mig_38/user_design/rtl/mcb_soft_calibration_top.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xC37A23BB - generationID_4013899584 - - - ./mig_38/user_design/rtl/memc3_infrastructure.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x8EA90667 - generationID_4013899584 - - - ./mig_38/user_design/rtl/memc3_wrapper.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x301ED9DD - generationID_4013899584 - - - ./mig_38/user_design/rtl/mig_38.vhd - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x9CF2B6E1 - generationID_4013899584 - - - ./mig_38/user_design/sim/afifo.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x26568D9A - generationID_4013899584 - - - ./mig_38/user_design/sim/cmd_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xC6EF0126 - generationID_4013899584 - - - ./mig_38/user_design/sim/cmd_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x893B0F01 - generationID_4013899584 - - - ./mig_38/user_design/sim/data_prbs_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x6E88FB8E - generationID_4013899584 - - - ./mig_38/user_design/sim/ddr3_model_c3.v - ignore - verilog - Mon Apr 08 17:56:55 GMT 2013 - 0x4888E9C7 - generationID_4013899584 - - - ./mig_38/user_design/sim/ddr3_model_parameters_c3.vh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xFCAE2B71 - generationID_4013899584 - - - ./mig_38/user_design/sim/init_mem_pattern_ctr.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x26DEA717 - generationID_4013899584 - - - ./mig_38/user_design/sim/isim.sh - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x3C08CE2A - generationID_4013899584 - - - ./mig_38/user_design/sim/isim.tcl - ignore - tcl - Mon Apr 08 17:56:55 GMT 2013 - 0x42CDE72E - generationID_4013899584 - - - ./mig_38/user_design/sim/mcb_flow_control.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xB9D71414 - generationID_4013899584 - - - ./mig_38/user_design/sim/mcb_traffic_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x125A1D59 - generationID_4013899584 - - - ./mig_38/user_design/sim/memc3_tb_top.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xDB511AFE - generationID_4013899584 - - - ./mig_38/user_design/sim/mig_38.prj - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x095DF099 - generationID_4013899584 - - - ./mig_38/user_design/sim/rd_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x50A90B14 - generationID_4013899584 - - - ./mig_38/user_design/sim/read_data_path.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xDFA5DAF7 - generationID_4013899584 - - - ./mig_38/user_design/sim/read_posted_fifo.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xBC61DAC4 - generationID_4013899584 - - - ./mig_38/user_design/sim/readme.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0xFF44EBCB - generationID_4013899584 - - - ./mig_38/user_design/sim/sim.do - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x71356E79 - generationID_4013899584 - - - ./mig_38/user_design/sim/sim_tb_top.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x07646316 - generationID_4013899584 - - - ./mig_38/user_design/sim/sp6_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0xA9170C59 - generationID_4013899584 - - - ./mig_38/user_design/sim/tg_status.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x7B717096 - generationID_4013899584 - - - ./mig_38/user_design/sim/v6_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x24F3E550 - generationID_4013899584 - - - ./mig_38/user_design/sim/wr_data_gen.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x91BCA941 - generationID_4013899584 - - - ./mig_38/user_design/sim/write_data_path.vhd - ignore - vhdl - Mon Apr 08 17:56:55 GMT 2013 - 0x391A16CE - generationID_4013899584 - - - ./mig_38/user_design/synth/mem_interface_top_synp.sdc - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0x1F855903 - generationID_4013899584 - - - ./mig_38/user_design/synth/mig_38.lso - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xEEDE3797 - generationID_4013899584 - - - ./mig_38/user_design/synth/mig_38.prj - ignore - unknown - Mon Apr 08 17:56:55 GMT 2013 - 0xB356DCEF - generationID_4013899584 - - - ./mig_38/user_design/synth/script_synp.tcl - ignore - tcl - Mon Apr 08 17:56:55 GMT 2013 - 0x2F81C446 - generationID_4013899584 - - - ./mig_38.vho - vho - Mon Apr 08 17:56:55 GMT 2013 - 0x01F45477 - generationID_4013899584 - - - ./mig_38_readme.txt - ignore - txt - Mon Apr 08 17:56:55 GMT 2013 - 0xB03C2FD6 - generationID_4013899584 - - - ./mig_38_xmdf.tcl - ignore - tcl - Mon Apr 08 17:56:55 GMT 2013 - 0x46DC07F2 - generationID_4013899584 - - - - model_parameter_resolution_generator - - - ip_xco_generator - - ./mig_38.xco - xco - Mon Apr 08 17:56:57 GMT 2013 - 0xDA75A70B - generationID_4013899584 - - - - implementation_source_generator - - - instantiation_template_generator - - - asy_generator - - - xmdf_generator - - - ise_generator - - ./mig_38.gise - ignore - gise - Mon Apr 08 17:57:01 GMT 2013 - 0xDB2406DD - generationID_4013899584 - - - ./mig_38.xise - ignore - xise - Mon Apr 08 17:57:01 GMT 2013 - 0x54C3BA6E - generationID_4013899584 - - - - deliver_readme_generator - - - flist_generator - - ./mig_38_flist.txt - ignore - txtFlist - txt - Mon Apr 08 17:57:01 GMT 2013 - 0x7CF73606 - generationID_4013899584 - - - - view_readme_generator - - - - - - - - - mig - ./ - ./tmp/ - ./tmp/_cg/ - - - xc6slx45 - spartan6 - fgg484 - -3 - - - BusFormatAngleBracketNotRipped - VHDL - true - Other - false - false - false - Ngc - false - - - Behavioral - VHDL - false - - - - - diff --git a/designs/beaglebone-test1/mig/mig.cgp b/designs/beaglebone-test1/mig/mig.cgp deleted file mode 100644 --- a/designs/beaglebone-test1/mig/mig.cgp +++ /dev/null @@ -1,22 +0,0 @@ -# Date: Mon Apr 8 17:57:02 2013 - -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = VHDL -SET device = xc6slx45 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fgg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = false -SET vhdlsim = true -SET workingdirectory = ./tmp/ - -# CRC: 295dbc7c diff --git a/designs/beaglebone-test1/mig/mig.prj b/designs/beaglebone-test1/mig/mig.prj deleted file mode 100644 --- a/designs/beaglebone-test1/mig/mig.prj +++ /dev/null @@ -1,63 +0,0 @@ - - - mig_38 - xc6slx45t-fgg484/-3 - 3.8 - - DDR3_SDRAM/Components/MT41J64M16XX-187E - 3000 - 0 - 1 - FALSE - - 13 - 10 - 3 - - - - 8(00) - 6 - Enable - RZQ/6 - RZQ/4 - 0 - Disabled - Disabled - Full Array - 5 - Enabled - Normal - NATIVE,NATIVE,NATIVE,NATIVE,NATIVE,NATIVE - Class II - Class II - CALIB_TERM - 25 Ohms - - - - Differential - 1 - Disable - Differential - Two 32-bit bi-directional and four 32-bit unidirectional ports - K7 - M7 - Port0,Port2 - Bi-directional,none,Read,none,none,none - ROW_BANK_COLUMN - Round Robin - 02 - 20 - 02 - 20 - 02 - 20 - 02 - 20 - 02 - 20 - 02 - 20 - - diff --git a/designs/beaglebone-test1/mig/mig.xco b/designs/beaglebone-test1/mig/mig.xco deleted file mode 100644 --- a/designs/beaglebone-test1/mig/mig.xco +++ /dev/null @@ -1,49 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 14.2 -# Date: Mon Apr 8 17:56:57 2013 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# Generated from component: xilinx.com:ip:mig:3.92 -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = VHDL -SET device = xc6slx45 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = fgg484 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -3 -SET verilogsim = false -SET vhdlsim = true -# END Project Options -# BEGIN Select -SELECT MIG_Virtex-6_and_Spartan-6 family Xilinx,_Inc. 3.92 -# END Select -# BEGIN Parameters -CSET component_name=mig_38 -CSET xml_input_file=./mig_38/user_design/mig.prj -# END Parameters -# BEGIN Extra information -MISC pkg_timestamp=2012-07-21T06:31:03Z -# END Extra information -GENERATE -# CRC: 62df7c4e diff --git a/designs/beaglebone-test1/prom.S b/designs/beaglebone-test1/prom.S deleted file mode 100644 --- a/designs/beaglebone-test1/prom.S +++ /dev/null @@ -1,193 +0,0 @@ - -/* Template boot-code for LEON3 test benches */ - -#include "prom.h" - -#ifndef STACKSIZE -#define STACKSIZE 0x00020000 -#endif - - - .seg "text" - .proc 0 - .align 4 - .global start -start: - - flush - set 0x10e0, %g1 ! init IU - mov %g1, %psr - mov %g0, %wim - mov %g0, %tbr - mov %g0, %y - mov %g0, %asr16 - nop - set 0x81000f, %g1 - sta %g1, [%g0] 2 - mov %g0, %g2 - nop - nop - nop - nop - nop - or %g2, %g2, %g0 - nop - nop - nop - nop - nop -#ifdef DSUADDR - set DSUADDR, %g2 - st %g0, [%g2] - st %g0, [%g2+0x08] - st %g0, [%g2+0x20] - st %g0, [%g2+0x24] - st %g0, [%g2+0x40] - st %g0, [%g2+0x44] - st %g0, [%g2+0x50] - st %g0, [%g2+0x54] - st %g0, [%g2+0x58] - st %g0, [%g2+0x5C] - st %g0, [%g2+0x54] -#endif - -2: - mov %asr17, %g3 - and %g3, 0x1f, %g3 - mov %g0, %g4 - mov %g0, %g5 - mov %g0, %g6 - mov %g0, %g7 -1: - mov %g0, %l0 - mov %g0, %l1 - mov %g0, %l2 - mov %g0, %l3 - mov %g0, %l4 - mov %g0, %l5 - mov %g0, %l6 - mov %g0, %l7 - mov %g0, %o0 - mov %g0, %o1 - mov %g0, %o2 - mov %g0, %o3 - mov %g0, %o4 - mov %g0, %o5 - mov %g0, %o6 - mov %g0, %o7 - subcc %g3, 1, %g3 - bge 1b - save - - mov 2, %g1 - mov %g1, %wim - set 0x10e0, %g1 ! enable traps - mov %g1, %psr - nop; nop; nop; - - mov %psr, %g1 - srl %g1, 12, %g1 - andcc %g1, 1, %g0 - be 1f - nop - - set _fsrxx, %g3 - ld [%g3], %fsr - ldd [%g3], %f0 - ldd [%g3], %f2 - ldd [%g3], %f4 - ldd [%g3], %f6 - ldd [%g3], %f8 - ldd [%g3], %f10 - ldd [%g3], %f12 - ldd [%g3], %f14 - ldd [%g3], %f16 - ldd [%g3], %f18 - ldd [%g3], %f20 - ldd [%g3], %f22 - ldd [%g3], %f24 - ldd [%g3], %f26 - ldd [%g3], %f28 - ldd [%g3], %f30 - nop - nop - nop - nop - nop - faddd %f0, %f2, %f4 - nop - nop - nop - nop - ba 1f - nop - - -.align 8 -_fsrxx: - .word 0 - .word 0 - -1: - mov %asr17, %g3 - srl %g3, 28, %g3 - andcc %g3, 0x0f, %g3 - bne 1f - - set L2MCTRLIO, %g1 - set MCFG1, %g2 - st %g2, [%g1] - set MCFG2, %g2 - st %g2, [%g1+4] - set MCFG3, %g2 - st %g2, [%g1+8] -! set IRQCTRL, %g1 -! set 0x0ffff, %g2 -! st %g2, [%g1+0x10] - -#ifdef DDR2CTRLIO - set DDR2CTRLIO, %g1 - set DDR2CFG4, %g2 - st %g2, [%g1+12] -#endif - -#ifdef ASDCFG -#ifndef SDCTRLPNP -#define SDCTRLPNP 0xFFFFF860 -#endif - set SDCTRLPNP, %g1 - ld [%g1], %g2 - srl %g2, 12, %g2 - set 0x01009, %g1 - subcc %g1, %g2, %g0 - bne 1f - - set ASDCFG, %g1 - set DSDCFG, %g2 - st %g2, [%g1] -#endif - - ! %g3 = cpu index -1: set STACKSIZE, %g2 - mov %g0, %g1 -2: subcc %g3, 0, %g0 - be 3f - nop - add %g1, %g2, %g1 - ba 2b - sub %g3, 1, %g3 - - -3: set RAMSTART+ RAMSIZE-32, %fp - sub %fp, %g1, %fp - sub %fp, 96, %sp - - set RAMSTART, %g1 - -! set 0x88100000, %g2 ! restore data that was zapped by calibration -! set 0x0910006d, %g3 -! std %g2, [%g1] - jmp %g1 - nop - -.align 32 diff --git a/designs/beaglebone-test1/prom.h b/designs/beaglebone-test1/prom.h deleted file mode 100644 --- a/designs/beaglebone-test1/prom.h +++ /dev/null @@ -1,10 +0,0 @@ -#define MCFG1 0x10380033 -#define MCFG2 0xe6B86e60 -#define MCFG3 0x000ff000 -#define ASDCFG 0x80000000 -#define DSDCFG 0xe6A06e60 -#define L2MCTRLIO 0x80000000 -#define IRQCTRL 0x80000200 -#define RAMSTART 0x40000000 -#define RAMSIZE 0x00100000 - diff --git a/designs/beaglebone-test1/prom.srec b/designs/beaglebone-test1/prom.srec deleted file mode 100644 --- a/designs/beaglebone-test1/prom.srec +++ /dev/null @@ -1,37 +0,0 @@ -S00C000070726F6D2E737265635A -S113000081D8200003000004821060E08188400051 -S1130010819000008198000081800000A180000090 -S113002001000000030020408210600FC2A00040C5 -S11300308410000001000000010000000100000025 -S11300400100000001000000801080020100000097 -S11300500100000001000000010000000100000098 -S1130060874440008608E01F881000008A100000C2 -S11300708C1000008E100000A0100000A2100000E0 -S1130080A4100000A6100000A8100000AA10000090 -S1130090AC100000AE1000009010000092100000A0 -S11300A09410000096100000981000009A100000B0 -S11300B09C1000009E10000086A0E00116BFFFEF18 -S11300C081E00000821020028190400003000004BF -S11300D0821060E0818840000100000001000000FF -S11300E001000000834800008330600C80886001B8 -S11300F00280002401000000070000008610E1785F -S1130100C108C000C118C000C518C000C918C0008B -S1130110CD18C000D118C000D518C000D918C0002F -S1130120DD18C000E118C000E518C000E918C000DF -S1130130ED18C000F118C000F518C000F918C0008F -S1130140FD18C000010000000100000001000000D3 -S1130150010000000100000089A008420100000025 -S113016001000000010000000100000010800005F3 -S11301700100000001000000000000000000000079 -S1130180874440008730E01C8688E00F1280001509 -S11301900320000005040E008410A033C420400096 -S11301A00539AE1B8410A260C4206004050003FC62 -S11301B0C420600882103860C40040008530A00C60 -S11301C0030000048210600980A040021280000530 -S11301D0032000000539A81B8410A260C42040003D -S11301E0050000808210000080A0E000028000056D -S11301F0010000008200400210BFFFFC8620E001E5 -S11302003D1003FFBC17A3E0BC2780019C27A0601E -S11302100310000081C04000010000000100000044 -S113022000000000000000000000000000000000CA -S9030000FC diff --git a/designs/beaglebone-test1/res.txt b/designs/beaglebone-test1/res.txt deleted file mode 100644 --- a/designs/beaglebone-test1/res.txt +++ /dev/null @@ -1,13 +0,0 @@ - -SPARTAN6 50 MHz, MIG DDR2, 2x8 + 2x4 cache, GRFPU - - LEON3 LEON3FTV2 -Dhrystone 78.4 78.4 -Whetstone DP 27.7 27.7 -gzip 43.98 s 41.38 s -bzip2 248.22 s 200.10 s -176.gcc 208.62 s 180.48 s -coremark 100.12 i/s 100.12 i/s -aocs_v8 12388.7 i/s 12388.7 i/s -basicmath_large 13245.0 i/s 13245.0 i/s -linpack_unroll_dp_v8 3265 KFLOPS 3563 KFLOPS diff --git a/designs/beaglebone-test1/sdram.srec b/designs/beaglebone-test1/sdram.srec deleted file mode 100644 --- a/designs/beaglebone-test1/sdram.srec +++ /dev/null @@ -1,19493 +0,0 @@ -S00D0000736472616D2E7372656300 -S31540000000881000000910006C81C1207401000000B6 -S31540000010A1480000A75000001080203EAC102001EF -S3154000002091D0200001000000010000000100000006 -S3154000003091D02000010000000100000001000000F6 -S31540000040A14800002910006B81C523AC01000000C7 -S31540000050A14800002910006981C522D00100000096 -S31540000060A14800002910006981C5233C0100000019 -S3154000007091D02000010000000100000001000000B6 -S3154000008091D02000010000000100000001000000A6 -S31540000090A1480000A75000001080201EAC10200987 -S315400000A091D0200001000000010000000100000086 -S315400000B091D0200001000000010000000100000076 -S315400000C091D0200001000000010000000100000066 -S315400000D091D0200001000000010000000100000056 -S315400000E091D0200001000000010000000100000046 -S315400000F091D0200001000000010000000100000036 -S3154000010091D0200001000000010000000100000025 -S31540000110AE102001A148000010806A35A7500000AB -S31540000120AE102002A148000010806A31A75000009E -S31540000130AE102003A148000010806A2DA750000091 -S31540000140AE102004A148000010806A29A750000084 -S31540000150AE102005A148000010806A25A750000077 -S31540000160AE102006A148000010806A21A75000006A -S31540000170AE102007A148000010806A1DA75000005D -S31540000180AE102008A148000010806A19A750000050 -S31540000190AE102009A148000010806A15A750000043 -S315400001A0AE10200AA148000010806A11A750000036 -S315400001B0AE10200BA148000010806A0DA750000029 -S315400001C0AE10200CA148000010806A09A75000001C -S315400001D0AE10200DA148000010806A05A75000000F -S315400001E0AE10200EA148000010806A01A750000002 -S315400001F0AE10200FA1480000108069FDA7500000F6 -S3154000020091D0200001000000010000000100000024 -S3154000021091D0200001000000010000000100000014 -S3154000022091D0200001000000010000000100000004 -S3154000023091D02000010000000100000001000000F4 -S3154000024091D02000010000000100000001000000E4 -S3154000025091D02000010000000100000001000000D4 -S3154000026091D02000010000000100000001000000C4 -S3154000027091D02000010000000100000001000000B4 -S3154000028091D02000010000000100000001000000A4 -S3154000029091D0200001000000010000000100000094 -S315400002A091D0200001000000010000000100000084 -S315400002B091D0200001000000010000000100000074 -S315400002C091D0200001000000010000000100000064 -S315400002D091D0200001000000010000000100000054 -S315400002E091D0200001000000010000000100000044 -S315400002F091D0200001000000010000000100000034 -S3154000030091D0200001000000010000000100000023 -S3154000031091D0200001000000010000000100000013 -S3154000032091D0200001000000010000000100000003 -S3154000033091D02000010000000100000001000000F3 -S3154000034091D02000010000000100000001000000E3 -S3154000035091D02000010000000100000001000000D3 -S3154000036091D02000010000000100000001000000C3 -S3154000037091D02000010000000100000001000000B3 -S3154000038091D02000010000000100000001000000A3 -S3154000039091D0200001000000010000000100000093 -S315400003A091D0200001000000010000000100000083 -S315400003B091D0200001000000010000000100000073 -S315400003C091D0200001000000010000000100000063 -S315400003D091D0200001000000010000000100000053 -S315400003E091D0200001000000010000000100000043 -S315400003F091D0200001000000010000000100000033 -S3154000040091D0200001000000010000000100000022 -S3154000041091D0200001000000010000000100000012 -S3154000042091D0200001000000010000000100000002 -S3154000043091D02000010000000100000001000000F2 -S3154000044091D02000010000000100000001000000E2 -S3154000045091D02000010000000100000001000000D2 -S3154000046091D02000010000000100000001000000C2 -S3154000047091D02000010000000100000001000000B2 -S3154000048091D02000010000000100000001000000A2 -S3154000049091D0200001000000010000000100000092 -S315400004A091D0200001000000010000000100000082 -S315400004B091D0200001000000010000000100000072 -S315400004C091D0200001000000010000000100000062 -S315400004D091D0200001000000010000000100000052 -S315400004E091D0200001000000010000000100000042 -S315400004F091D0200001000000010000000100000032 -S3154000050091D0200001000000010000000100000021 -S3154000051091D0200001000000010000000100000011 -S3154000052091D0200001000000010000000100000001 -S3154000053091D02000010000000100000001000000F1 -S3154000054091D02000010000000100000001000000E1 -S3154000055091D02000010000000100000001000000D1 -S3154000056091D02000010000000100000001000000C1 -S3154000057091D02000010000000100000001000000B1 -S3154000058091D02000010000000100000001000000A1 -S3154000059091D0200001000000010000000100000091 -S315400005A091D0200001000000010000000100000081 -S315400005B091D0200001000000010000000100000071 -S315400005C091D0200001000000010000000100000061 -S315400005D091D0200001000000010000000100000051 -S315400005E091D0200001000000010000000100000041 -S315400005F091D0200001000000010000000100000031 -S3154000060091D0200001000000010000000100000020 -S3154000061091D0200001000000010000000100000010 -S3154000062091D0200001000000010000000100000000 -S3154000063091D02000010000000100000001000000F0 -S3154000064091D02000010000000100000001000000E0 -S3154000065091D02000010000000100000001000000D0 -S3154000066091D02000010000000100000001000000C0 -S3154000067091D02000010000000100000001000000B0 -S3154000068091D02000010000000100000001000000A0 -S3154000069091D0200001000000010000000100000090 -S315400006A091D0200001000000010000000100000080 -S315400006B091D0200001000000010000000100000070 -S315400006C091D0200001000000010000000100000060 -S315400006D091D0200001000000010000000100000050 -S315400006E091D0200001000000010000000100000040 -S315400006F091D0200001000000010000000100000030 -S3154000070091D020000100000001000000010000001F -S3154000071091D020000100000001000000010000000F -S3154000072091D02000010000000100000001000000FF -S3154000073091D02000010000000100000001000000EF -S3154000074091D02000010000000100000001000000DF -S3154000075091D02000010000000100000001000000CF -S3154000076091D02000010000000100000001000000BF -S3154000077091D02000010000000100000001000000AF -S3154000078091D020000100000001000000010000009F -S3154000079091D020000100000001000000010000008F -S315400007A091D020000100000001000000010000007F -S315400007B091D020000100000001000000010000006F -S315400007C091D020000100000001000000010000005F -S315400007D091D020000100000001000000010000004F -S315400007E091D020000100000001000000010000003F -S315400007F091D020000100000001000000010000002F -S3154000080091D020000100000001000000010000001E -S3154000081091D020000100000001000000010000000E -S31540000820A14800002910006A81C52110010000007E -S31540000830A1480000108067DBA750000001000000BF -S3154000084091D02000010000000100000001000000DE -S31540000850A14800002910006A81C520F4010000006B -S3154000086091D02000010000000100000001000000BE -S3154000087091D02000010000000100000001000000AE -S3154000088091D020000100000001000000010000009E -S3154000089091D020000100000001000000010000008E -S315400008A091D020000100000001000000010000007E -S315400008B091D020000100000001000000010000006E -S315400008C091D020000100000001000000010000005E -S315400008D091D020000100000001000000010000004E -S315400008E091D020000100000001000000010000003E -S315400008F091D020000100000001000000010000002E -S3154000090091D020000100000001000000010000001D -S3154000091091D020000100000001000000010000000D -S3154000092091D02000010000000100000001000000FD -S3154000093091D02000010000000100000001000000ED -S3154000094091D02000010000000100000001000000DD -S3154000095091D02000010000000100000001000000CD -S3154000096091D02000010000000100000001000000BD -S3154000097091D02000010000000100000001000000AD -S3154000098091D020000100000001000000010000009D -S3154000099091D020000100000001000000010000008D -S315400009A091D020000100000001000000010000007D -S315400009B091D020000100000001000000010000006D -S315400009C091D020000100000001000000010000005D -S315400009D091D020000100000001000000010000004D -S315400009E091D020000100000001000000010000003D -S315400009F091D020000100000001000000010000002D -S31540000A0091D020000100000001000000010000001C -S31540000A1091D020000100000001000000010000000C -S31540000A2091D02000010000000100000001000000FC -S31540000A3091D02000010000000100000001000000EC -S31540000A4091D02000010000000100000001000000DC -S31540000A5091D02000010000000100000001000000CC -S31540000A6091D02000010000000100000001000000BC -S31540000A7091D02000010000000100000001000000AC -S31540000A8091D020000100000001000000010000009C -S31540000A9091D020000100000001000000010000008C -S31540000AA091D020000100000001000000010000007C -S31540000AB091D020000100000001000000010000006C -S31540000AC091D020000100000001000000010000005C -S31540000AD091D020000100000001000000010000004C -S31540000AE091D020000100000001000000010000003C -S31540000AF091D020000100000001000000010000002C -S31540000B0091D020000100000001000000010000001B -S31540000B1091D020000100000001000000010000000B -S31540000B2091D02000010000000100000001000000FB -S31540000B3091D02000010000000100000001000000EB -S31540000B4091D02000010000000100000001000000DB -S31540000B5091D02000010000000100000001000000CB -S31540000B6091D02000010000000100000001000000BB -S31540000B7091D02000010000000100000001000000AB -S31540000B8091D020000100000001000000010000009B -S31540000B9091D020000100000001000000010000008B -S31540000BA091D020000100000001000000010000007B -S31540000BB091D020000100000001000000010000006B -S31540000BC091D020000100000001000000010000005B -S31540000BD091D020000100000001000000010000004B -S31540000BE091D020000100000001000000010000003B -S31540000BF091D020000100000001000000010000002B -S31540000C0091D020000100000001000000010000001A -S31540000C1091D020000100000001000000010000000A -S31540000C2091D02000010000000100000001000000FA -S31540000C3091D02000010000000100000001000000EA -S31540000C4091D02000010000000100000001000000DA -S31540000C5091D02000010000000100000001000000CA -S31540000C6091D02000010000000100000001000000BA -S31540000C7091D02000010000000100000001000000AA -S31540000C8091D020000100000001000000010000009A -S31540000C9091D020000100000001000000010000008A -S31540000CA091D020000100000001000000010000007A -S31540000CB091D020000100000001000000010000006A -S31540000CC091D020000100000001000000010000005A -S31540000CD091D020000100000001000000010000004A -S31540000CE091D020000100000001000000010000003A -S31540000CF091D020000100000001000000010000002A -S31540000D0091D0200001000000010000000100000019 -S31540000D1091D0200001000000010000000100000009 -S31540000D2091D02000010000000100000001000000F9 -S31540000D3091D02000010000000100000001000000E9 -S31540000D4091D02000010000000100000001000000D9 -S31540000D5091D02000010000000100000001000000C9 -S31540000D6091D02000010000000100000001000000B9 -S31540000D7091D02000010000000100000001000000A9 -S31540000D8091D0200001000000010000000100000099 -S31540000D9091D0200001000000010000000100000089 -S31540000DA091D0200001000000010000000100000079 -S31540000DB091D0200001000000010000000100000069 -S31540000DC091D0200001000000010000000100000059 -S31540000DD091D0200001000000010000000100000049 -S31540000DE091D0200001000000010000000100000039 -S31540000DF091D0200001000000010000000100000029 -S31540000E0091D0200001000000010000000100000018 -S31540000E1091D0200001000000010000000100000008 -S31540000E2091D02000010000000100000001000000F8 -S31540000E3091D02000010000000100000001000000E8 -S31540000E4091D02000010000000100000001000000D8 -S31540000E5091D02000010000000100000001000000C8 -S31540000E6091D02000010000000100000001000000B8 -S31540000E7091D02000010000000100000001000000A8 -S31540000E8091D0200001000000010000000100000098 -S31540000E9091D0200001000000010000000100000088 -S31540000EA091D0200001000000010000000100000078 -S31540000EB091D0200001000000010000000100000068 -S31540000EC091D0200001000000010000000100000058 -S31540000ED091D0200001000000010000000100000048 -S31540000EE091D0200001000000010000000100000038 -S31540000EF091D0200001000000010000000100000028 -S31540000F0091D0200001000000010000000100000017 -S31540000F1091D0200001000000010000000100000007 -S31540000F2091D02000010000000100000001000000F7 -S31540000F3091D02000010000000100000001000000E7 -S31540000F4091D02000010000000100000001000000D7 -S31540000F5091D02000010000000100000001000000C7 -S31540000F6091D02000010000000100000001000000B7 -S31540000F7091D02000010000000100000001000000A7 -S31540000F8091D0200001000000010000000100000097 -S31540000F9091D0200001000000010000000100000087 -S31540000FA091D0200001000000010000000100000077 -S31540000FB091D0200001000000010000000100000067 -S31540000FC091D0200001000000010000000100000057 -S31540000FD091D0200001000000010000000100000047 -S31540000FE091D0200001000000010000000100000037 -S31540000FF091D0200001000000010000000100000027 -S315400010009DE3BFC0051001438410A0600710014452 -S315400010108610E188821000008620C00286A0E00883 -S3154000102036BFFFFFC038800311100144901221885B -S31540001030C02200004000666801000000400066686B -S31540001040010000004000681A010000001110006D08 -S31540001050901221B040006168010000004000694ED6 -S3154000106001000000400000430100000040006745C9 -S315400010700100000081C7E00881E800009DE3BF98B9 -S3154000108023100143C20C606080A0600012800015EE -S315400010902110008010800005C204200C9FC3400030 -S315400010A0C224200CC204200CDA00400080A3600059 -S315400010B012BFFFFB82006004030000008210600044 -S315400010C080A0600002800006821020011110006D91 -S315400010D06FFFFBCC9012214082102001C22C606031 -S315400010E081C7E00881E800009DE3BF9881C7E0081A -S315400010F081E800009DE3BF98030000008210600075 -S315400011001110006D1310014380A060009012214021 -S3154000111002800004921260646FFFFBBA0100000077 -S315400011201B100144C203618880A060000280000950 -S31540001130B0136188030000008210600080A0600048 -S3154000114002800004010000006FFFFBAE81E8000052 -S315400011500100000081C7E00881E800009DE3BF98D8 -S3154000116081C7E00881E8000081C3E0080100000073 -S315400011709DE3BF9840000007010000004000014683 -S31540001180010000004000000E81E800000100000060 -S315400011909DE3BF98400000290100000080A2200086 -S315400011A01280000503100080D80060109A102001BC -S315400011B0DA23201081C7E00891E820000310008060 -S315400011C0D80060109A102001DA23201481C3E00869 -S315400011D09010200003100080DA006010D0234000F9 -S315400011E081C3E0089010200003100080DA006010F0 -S315400011F0D023600881C3E0089010200003100080CF -S31540001200DA006010D023600481C3E008901020000B -S3154000121013100080921260149010200081C3E008E1 -S31540001220D0EA4020901020001310008092126014E3 -S3154000123081C3E008D0224000914440009132201CF6 -S3154000124081C3E008900A200F81C3E008D0820020C5 -S315400012509010200C81C3E008D08200408210000824 -S3154000126080A20009148000039022000990224001C8 -S3154000127081C3E00801000000932A60028210200129 -S31540001280C22200099810200080A3000A1680000A96 -S3154000129096102001832B2002DA02000180A0000D67 -S315400012A0826020009803200180A3000A06BFFFFA4F -S315400012B0960AC00180A2E00002BFFFF49810200009 -S315400012C081C3E008010000009DE3BF98C2062010DC -S315400012D08330601CA0100018A400600180A4A00107 -S315400012E00280006CB0103FFF7FFFFFD4010000007A -S315400012F0AA100008912A20047FFFFFBC9002200715 -S315400013007FFFFFD40100000003020000808A000135 -S315400013100280005C010000009A05600182102001F5 -S315400013208328400D11100080C2242010901220A066 -S31540001330921000157FFFFFD1941000120310008019 -S3154000134082106018A12D6002C0204010DA004010C3 -S31540001350A610000180A36009291001431480002BC8 -S31540001360AC1000017FFFFFAB0100000080A220000F -S3154000137012BFFFFD01000000B010200080A6001241 -S31540001380168000140100000010800005A21000160F -S3154000139080A600121680000F01000000832E200256 -S315400013A0D0044010D20440017FFFFFADB0062001BB -S315400013B080A2200104BFFFF7901020027FFFFF901C -S315400013C00100000080A6001206BFFFF6832E200211 -S315400013D0C205207C82006001C225207C7FFFFF92EF -S315400013E001000000C204C01082006001C224C01087 -S315400013F0DA04C01080A3600904BFFFDB111000802F -S31540001400108000049012205C111000809012205C25 -S31540001410921000157FFFFF9994100012832CA002B2 -S3154000142082004012A0004001DA05207C80A4000D15 -S3154000143002800004231001437FFFFF7190102003B8 -S31540001440C204607C80A060000480000601000000A9 -S31540001450C204607C80A400011680000580A560005F -S315400014607FFFFF679010200380A56000228000095F -S31540001470B010200091D0200010800006B01020004F -S315400014807FFFFF5F9010200110BFFFA59A05600106 -S3154000149081C7E00881E800009DE3BF98C20620109E -S315400014A0A01000188330601C80A0600002800009F4 -S315400014B0B0103FFF7FFFFF610100000080A22000C7 -S315400014C0128000040300003F821063FFC2242010F4 -S315400014D081C7E00881E800009DE3BF98C20620105E -S315400014E08330601CA400600180A4A00102800068D3 -S315400014F0B0103FFF7FFFFF5101000000AA10000817 -S31540001500912A20047FFFFF39900220077FFFFF5179 -S315400015100100000003020000808A0001028000589A -S315400015200100000011100080901220A092100015BA -S315400015307FFFFF5294100012031000808210601843 -S31540001540A12D6002C0204010DA004010A610000114 -S3154000155080A36009291001431480002BAC100001C0 -S315400015607FFFFF2C0100000080A2200012BFFFFD7C -S3154000157001000000B010200080A600121680001462 -S315400015800100000010800005A210001680A600127F -S315400015901680000F01000000832E2002D004401068 -S315400015A0D20440017FFFFF2EB006200180A2200119 -S315400015B004BFFFF7901020027FFFFF1101000000DB -S315400015C080A6001206BFFFF6832E2002C205207CAD -S315400015D082006001C225207C7FFFFF1301000000CE -S315400015E0C204C01082006001C224C010DA04C010D8 -S315400015F080A3600904BFFFDB111000801080000447 -S315400016009012205C111000809012205C9210001500 -S315400016107FFFFF1A94100012832CA0028200401212 -S31540001620A0004001DA05207C80A4000D0280000461 -S31540001630231001437FFFFEF290102003C204607C1A -S3154000164080A060000480000601000000C204607CA7 -S3154000165080A400011680000580A560007FFFFEE89B -S315400016609010200380A5600022800009B010200061 -S3154000167091D0200010800006B01020007FFFFEE0D1 -S315400016809010200110BFFFA91110008081C7E0080B -S3154000169081E800009DE3BF983120000092162200A9 -S315400016A09410200040003E589010200140003B62BC -S315400016B0901622009016230040003EBD921020084E -S315400016C0B016210040003A4F81E8000001000000BA -S315400016D09DE3BF9821200000921422009410200020 -S315400016E040003E499010200140003B539014220098 -S315400016F09210001840003EAE90142300B014210012 -S3154000170040003A4081E8000001000000000000006F -S315400017100000000000000000000000000000000083 -S315400017200000000000000000000000000000000073 -S315400017300000000000000000000000000000000063 -S315400017400000000000000000000000000000000053 -S315400017500000000000000000000000000000000043 -S315400017600000000000000000000000000000000033 -S315400017700000000000000000000000000000000023 -S315400017800000000000000000000000000000000013 -S315400017900000000000000000000000000000000003 -S315400017A000000000000000000000000000000000F3 -S315400017B000000000000000000000000000000000E3 -S315400017C000000000000000000000000000000000D3 -S315400017D000000000000000000000000000000000C3 -S315400017E000000000000000000000000000000000B3 -S315400017F000000000000000000000000000000000A3 -S315400018000000000000000000000000000000000092 -S315400018100000000000000000000000000000000082 -S315400018200000000000000000000000000000000072 -S315400018300000000000000000000000000000000062 -S315400018400000000000000000000000000000000052 -S315400018500000000000000000000000000000000042 -S315400018600000000000000000000000000000000032 -S315400018700000000000000000000000000000000022 -S315400018800000000000000000000000000000000012 -S315400018900000000000000000000000000000000002 -S315400018A000000000000000000000000000000000F2 -S315400018B000000000000000000000000000000000E2 -S315400018C000000000000000000000000000000000D2 -S315400018D000000000000000000000000000000000C2 -S315400018E000000000000000000000000000000000B2 -S315400018F000000000000000000000000000000000A2 -S315400019000000000000000000000000000000000091 -S315400019100000000000000000000000000000000081 -S315400019200000000000000000000000000000000071 -S315400019300000000000000000000000000000000061 -S315400019400000000000000000000000000000000051 -S315400019500000000000000000000000000000000041 -S315400019600000000000000000000000000000000031 -S315400019700000000000000000000000000000000021 -S315400019800000000000000000000000000000000011 -S315400019900000000000000000000000000000000001 -S315400019A000000000000000000000000000000000F1 -S315400019B000000000000000000000000000000000E1 -S315400019C000000000000000000000000000000000D1 -S315400019D000000000000000000000000000000000C1 -S315400019E000000000000000000000000000000000B1 -S315400019F000000000000000000000000000000000A1 -S31540001A000000000000000000000000000000000090 -S31540001A100000000000000000000000000000000080 -S31540001A200000000000000000000000000000000070 -S31540001A300000000000000000000000000000000060 -S31540001A400000000000000000000000000000000050 -S31540001A500000000000000000000000000000000040 -S31540001A600000000000000000000000000000000030 -S31540001A700000000000000000000000000000000020 -S31540001A800000000000000000000000000000000010 -S31540001A900000000000000000000000000000000000 -S31540001AA000000000000000000000000000000000F0 -S31540001AB000000000000000000000000000000000E0 -S31540001AC000000000000000000000000000000000D0 -S31540001AD000000000000000000000000000000000C0 -S31540001AE000000000000000000000000000000000B0 -S31540001AF000000000000000000000000000000000A0 -S31540001B00000000000000000000000000000000008F -S31540001B10000000000000000000000000000000007F -S31540001B20000000000000000000000000000000006F -S31540001B30000000000000000000000000000000005F -S31540001B40000000000000000000000000000000004F -S31540001B50000000000000000000000000000000003F -S31540001B60000000000000000000000000000000002F -S31540001B70000000000000000000000000000000001F -S31540001B80000000000000000000000000000000000F -S31540001B9000000000000000000000000000000000FF -S31540001BA000000000000000000000000000000000EF -S31540001BB000000000000000000000000000000000DF -S31540001BC000000000000000000000000000000000CF -S31540001BD000000000000000000000000000000000BF -S31540001BE000000000000000000000000000000000AF -S31540001BF0000000000000000000000000000000009F -S31540001C00000000000000000000000000000000008E -S31540001C10000000000000000000000000000000007E -S31540001C20000000000000000000000000000000006E -S31540001C30000000000000000000000000000000005E -S31540001C40000000000000000000000000000000004E -S31540001C50000000000000000000000000000000003E -S31540001C60000000000000000000000000000000002E -S31540001C70000000000000000000000000000000001E -S31540001C80000000000000000000000000000000000E -S31540001C9000000000000000000000000000000000FE -S31540001CA000000000000000000000000000000000EE -S31540001CB000000000000000000000000000000000DE -S31540001CC000000000000000000000000000000000CE -S31540001CD000000000000000000000000000000000BE -S31540001CE000000000000000000000000000000000AE -S31540001CF0000000000000000000000000000000009E -S31540001D00000000000000000000000000000000008D -S31540001D10000000000000000000000000000000007D -S31540001D20000000000000000000000000000000006D -S31540001D30000000000000000000000000000000005D -S31540001D40000000000000000000000000000000004D -S31540001D50000000000000000000000000000000003D -S31540001D60000000000000000000000000000000002D -S31540001D70000000000000000000000000000000001D -S31540001D80000000000000000000000000000000000D -S31540001D9000000000000000000000000000000000FD -S31540001DA000000000000000000000000000000000ED -S31540001DB000000000000000000000000000000000DD -S31540001DC000000000000000000000000000000000CD -S31540001DD000000000000000000000000000000000BD -S31540001DE000000000000000000000000000000000AD -S31540001DF0000000000000000000000000000000009D -S31540001E00000000000000000000000000000000008C -S31540001E10000000000000000000000000000000007C -S31540001E20000000000000000000000000000000006C -S31540001E30000000000000000000000000000000005C -S31540001E40000000000000000000000000000000004C -S31540001E50000000000000000000000000000000003C -S31540001E60000000000000000000000000000000002C -S31540001E70000000000000000000000000000000001C -S31540001E80000000000000000000000000000000000C -S31540001E9000000000000000000000000000000000FC -S31540001EA000000000000000000000000000000000EC -S31540001EB000000000000000000000000000000000DC -S31540001EC000000000000000000000000000000000CC -S31540001ED000000000000000000000000000000000BC -S31540001EE000000000000000000000000000000000AC -S31540001EF0000000000000000000000000000000009C -S31540001F00000000000000000000000000000000008B -S31540001F10000000000000000000000000000000007B -S31540001F20000000000000000000000000000000006B -S31540001F30000000000000000000000000000000005B -S31540001F40000000000000000000000000000000004B -S31540001F50000000000000000000000000000000003B -S31540001F60000000000000000000000000000000002B -S31540001F70000000000000000000000000000000001B -S31540001F80000000000000000000000000000000000B -S31540001F9000000000000000000000000000000000FB -S31540001FA000000000000000000000000000000000EB -S31540001FB000000000000000000000000000000000DB -S31540001FC000000000000000000000000000000000CB -S31540001FD000000000000000000000000000000000BB -S31540001FE000000000000000000000000000000000AB -S31540001FF0000000000000000000000000000000009B -S31540002000000000000000000000000000000000008A -S31540002010000000000000000000000000000000007A -S31540002020000000000000000000000000000000006A -S31540002030000000000000000000000000000000005A -S31540002040000000000000000000000000000000004A -S31540002050000000000000000000000000000000003A -S31540002060000000000000000000000000000000002A -S31540002070000000000000000000000000000000001A -S31540002080000000000000000000000000000000000A -S3154000209000000000000000000000000000000000FA -S315400020A000000000000000000000000000000000EA -S315400020B000000000000000000000000000000000DA -S315400020C000000000000000000000000000000000CA -S315400020D000000000000000000000000000000000BA -S315400020E000000000000000000000000000000000AA -S315400020F0000000000000000000000000000000009A -S315400021000000000000000000000000000000000089 -S315400021100000000000000000000000000000000079 -S315400021200000000000000000000000000000000069 -S315400021300000000000000000000000000000000059 -S315400021400000000000000000000000000000000049 -S315400021500000000000000000000000000000000039 -S315400021600000000000000000000000000000000029 -S315400021700000000000000000000000000000000019 -S315400021800000000000000000000000000000000009 -S3154000219000000000000000000000000000000000F9 -S315400021A000000000000000000000000000000000E9 -S315400021B000000000000000000000000000000000D9 -S315400021C000000000000000000000000000000000C9 -S315400021D000000000000000000000000000000000B9 -S315400021E000000000000000000000000000000000A9 -S315400021F00000000000000000000000000000000099 -S315400022000000000000000000000000000000000088 -S315400022100000000000000000000000000000000078 -S315400022200000000000000000000000000000000068 -S315400022300000000000000000000000000000000058 -S315400022400000000000000000000000000000000048 -S315400022500000000000000000000000000000000038 -S315400022600000000000000000000000000000000028 -S315400022700000000000000000000000000000000018 -S315400022800000000000000000000000000000000008 -S3154000229000000000000000000000000000000000F8 -S315400022A000000000000000000000000000000000E8 -S315400022B000000000000000000000000000000000D8 -S315400022C000000000000000000000000000000000C8 -S315400022D000000000000000000000000000000000B8 -S315400022E000000000000000000000000000000000A8 -S315400022F00000000000000000000000000000000098 -S315400023000000000000000000000000000000000087 -S315400023100000000000000000000000000000000077 -S315400023200000000000000000000000000000000067 -S315400023300000000000000000000000000000000057 -S315400023400000000000000000000000000000000047 -S315400023500000000000000000000000000000000037 -S315400023600000000000000000000000000000000027 -S315400023700000000000000000000000000000000017 -S315400023800000000000000000000000000000000007 -S3154000239000000000000000000000000000000000F7 -S315400023A000000000000000000000000000000000E7 -S315400023B000000000000000000000000000000000D7 -S315400023C000000000000000000000000000000000C7 -S315400023D000000000000000000000000000000000B7 -S315400023E000000000000000000000000000000000A7 -S315400023F00000000000000000000000000000000097 -S315400024000000000000000000000000000000000086 -S315400024100000000000000000000000000000000076 -S315400024200000000000000000000000000000000066 -S315400024300000000000000000000000000000000056 -S315400024400000000000000000000000000000000046 -S315400024500000000000000000000000000000000036 -S315400024600000000000000000000000000000000026 -S315400024700000000000000000000000000000000016 -S315400024800000000000000000000000000000000006 -S3154000249000000000000000000000000000000000F6 -S315400024A000000000000000000000000000000000E6 -S315400024B000000000000000000000000000000000D6 -S315400024C000000000000000000000000000000000C6 -S315400024D000000000000000000000000000000000B6 -S315400024E000000000000000000000000000000000A6 -S315400024F00000000000000000000000000000000096 -S315400025000000000000000000000000000000000085 -S315400025100000000000000000000000000000000075 -S315400025200000000000000000000000000000000065 -S315400025300000000000000000000000000000000055 -S315400025400000000000000000000000000000000045 -S315400025500000000000000000000000000000000035 -S315400025600000000000000000000000000000000025 -S315400025700000000000000000000000000000000015 -S315400025800000000000000000000000000000000005 -S3154000259000000000000000000000000000000000F5 -S315400025A000000000000000000000000000000000E5 -S315400025B000000000000000000000000000000000D5 -S315400025C000000000000000000000000000000000C5 -S315400025D000000000000000000000000000000000B5 -S315400025E000000000000000000000000000000000A5 -S315400025F00000000000000000000000000000000095 -S315400026000000000000000000000000000000000084 -S315400026100000000000000000000000000000000074 -S315400026200000000000000000000000000000000064 -S315400026300000000000000000000000000000000054 -S315400026400000000000000000000000000000000044 -S315400026500000000000000000000000000000000034 -S315400026600000000000000000000000000000000024 -S315400026700000000000000000000000000000000014 -S315400026800000000000000000000000000000000004 -S3154000269000000000000000000000000000000000F4 -S315400026A000000000000000000000000000000000E4 -S315400026B000000000000000000000000000000000D4 -S315400026C000000000000000000000000000000000C4 -S315400026D000000000000000000000000000000000B4 -S315400026E000000000000000000000000000000000A4 -S315400026F00000000000000000000000000000000094 -S315400027000000000000000000000000000000000083 -S315400027100000000000000000000000000000000073 -S315400027200000000000000000000000000000000063 -S315400027300000000000000000000000000000000053 -S315400027400000000000000000000000000000000043 -S315400027500000000000000000000000000000000033 -S315400027600000000000000000000000000000000023 -S315400027700000000000000000000000000000000013 -S315400027800000000000000000000000000000000003 -S3154000279000000000000000000000000000000000F3 -S315400027A000000000000000000000000000000000E3 -S315400027B000000000000000000000000000000000D3 -S315400027C000000000000000000000000000000000C3 -S315400027D000000000000000000000000000000000B3 -S315400027E000000000000000000000000000000000A3 -S315400027F00000000000000000000000000000000093 -S315400028000000000000000000000000000000000082 -S315400028100000000000000000000000000000000072 -S315400028200000000000000000000000000000000062 -S315400028300000000000000000000000000000000052 -S315400028400000000000000000000000000000000042 -S315400028500000000000000000000000000000000032 -S315400028600000000000000000000000000000000022 -S315400028700000000000000000000000000000000012 -S315400028800000000000000000000000000000000002 -S3154000289000000000000000000000000000000000F2 -S315400028A000000000000000000000000000000000E2 -S315400028B000000000000000000000000000000000D2 -S315400028C000000000000000000000000000000000C2 -S315400028D000000000000000000000000000000000B2 -S315400028E000000000000000000000000000000000A2 -S315400028F00000000000000000000000000000000092 -S315400029000000000000000000000000000000000081 -S315400029100000000000000000000000000000000071 -S315400029200000000000000000000000000000000061 -S315400029300000000000000000000000000000000051 -S315400029400000000000000000000000000000000041 -S315400029500000000000000000000000000000000031 -S315400029600000000000000000000000000000000021 -S315400029700000000000000000000000000000000011 -S315400029800000000000000000000000000000000001 -S3154000299000000000000000000000000000000000F1 -S315400029A000000000000000000000000000000000E1 -S315400029B000000000000000000000000000000000D1 -S315400029C000000000000000000000000000000000C1 -S315400029D000000000000000000000000000000000B1 -S315400029E000000000000000000000000000000000A1 -S315400029F00000000000000000000000000000000091 -S31540002A000000000000000000000000000000000080 -S31540002A100000000000000000000000000000000070 -S31540002A200000000000000000000000000000000060 -S31540002A300000000000000000000000000000000050 -S31540002A400000000000000000000000000000000040 -S31540002A500000000000000000000000000000000030 -S31540002A600000000000000000000000000000000020 -S31540002A700000000000000000000000000000000010 -S31540002A800000000000000000000000000000000000 -S31540002A9000000000000000000000000000000000F0 -S31540002AA000000000000000000000000000000000E0 -S31540002AB000000000000000000000000000000000D0 -S31540002AC000000000000000000000000000000000C0 -S31540002AD000000000000000000000000000000000B0 -S31540002AE000000000000000000000000000000000A0 -S31540002AF00000000000000000000000000000000090 -S31540002B00000000000000000000000000000000007F -S31540002B10000000000000000000000000000000006F -S31540002B20000000000000000000000000000000005F -S31540002B30000000000000000000000000000000004F -S31540002B40000000000000000000000000000000003F -S31540002B50000000000000000000000000000000002F -S31540002B60000000000000000000000000000000001F -S31540002B70000000000000000000000000000000000F -S31540002B8000000000000000000000000000000000FF -S31540002B9000000000000000000000000000000000EF -S31540002BA000000000000000000000000000000000DF -S31540002BB000000000000000000000000000000000CF -S31540002BC000000000000000000000000000000000BF -S31540002BD000000000000000000000000000000000AF -S31540002BE0000000000000000000000000000000009F -S31540002BF0000000000000000000000000000000008F -S31540002C00000000000000000000000000000000007E -S31540002C10000000000000000000000000000000006E -S31540002C20000000000000000000000000000000005E -S31540002C30000000000000000000000000000000004E -S31540002C40000000000000000000000000000000003E -S31540002C50000000000000000000000000000000002E -S31540002C60000000000000000000000000000000001E -S31540002C70000000000000000000000000000000000E -S31540002C8000000000000000000000000000000000FE -S31540002C9000000000000000000000000000000000EE -S31540002CA000000000000000000000000000000000DE -S31540002CB000000000000000000000000000000000CE -S31540002CC000000000000000000000000000000000BE -S31540002CD000000000000000000000000000000000AE -S31540002CE0000000000000000000000000000000009E -S31540002CF0000000000000000000000000000000008E -S31540002D00000000000000000000000000000000007D -S31540002D10000000000000000000000000000000006D -S31540002D20000000000000000000000000000000005D -S31540002D30000000000000000000000000000000004D -S31540002D40000000000000000000000000000000003D -S31540002D50000000000000000000000000000000002D -S31540002D60000000000000000000000000000000001D -S31540002D70000000000000000000000000000000000D -S31540002D8000000000000000000000000000000000FD -S31540002D9000000000000000000000000000000000ED -S31540002DA000000000000000000000000000000000DD -S31540002DB000000000000000000000000000000000CD -S31540002DC000000000000000000000000000000000BD -S31540002DD000000000000000000000000000000000AD -S31540002DE0000000000000000000000000000000009D -S31540002DF0000000000000000000000000000000008D -S31540002E00000000000000000000000000000000007C -S31540002E10000000000000000000000000000000006C -S31540002E20000000000000000000000000000000005C -S31540002E30000000000000000000000000000000004C -S31540002E40000000000000000000000000000000003C -S31540002E50000000000000000000000000000000002C -S31540002E60000000000000000000000000000000001C -S31540002E70000000000000000000000000000000000C -S31540002E8000000000000000000000000000000000FC -S31540002E9000000000000000000000000000000000EC -S31540002EA000000000000000000000000000000000DC -S31540002EB000000000000000000000000000000000CC -S31540002EC000000000000000000000000000000000BC -S31540002ED000000000000000000000000000000000AC -S31540002EE0000000000000000000000000000000009C -S31540002EF0000000000000000000000000000000008C -S31540002F00000000000000000000000000000000007B -S31540002F10000000000000000000000000000000006B -S31540002F20000000000000000000000000000000005B -S31540002F30000000000000000000000000000000004B -S31540002F40000000000000000000000000000000003B -S31540002F50000000000000000000000000000000002B -S31540002F60000000000000000000000000000000001B -S31540002F70000000000000000000000000000000000B -S31540002F8000000000000000000000000000000000FB -S31540002F9000000000000000000000000000000000EB -S31540002FA000000000000000000000000000000000DB -S31540002FB000000000000000000000000000000000CB -S31540002FC000000000000000000000000000000000BB -S31540002FD000000000000000000000000000000000AB -S31540002FE0000000000000000000000000000000009B -S31540002FF0000000000000000000000000000000008B -S31540003000000000000000000000000000000000007A -S31540003010000000000000000000000000000000006A -S31540003020000000000000000000000000000000005A -S31540003030000000000000000000000000000000004A -S31540003040000000000000000000000000000000003A -S31540003050000000000000000000000000000000002A -S31540003060000000000000000000000000000000001A -S31540003070000000000000000000000000000000000A -S3154000308000000000000000000000000000000000FA -S3154000309000000000000000000000000000000000EA -S315400030A000000000000000000000000000000000DA -S315400030B000000000000000000000000000000000CA -S315400030C000000000000000000000000000000000BA -S315400030D000000000000000000000000000000000AA -S315400030E0000000000000000000000000000000009A -S315400030F0000000000000000000000000000000008A -S315400031000000000000000000000000000000000079 -S315400031100000000000000000000000000000000069 -S315400031200000000000000000000000000000000059 -S315400031300000000000000000000000000000000049 -S315400031400000000000000000000000000000000039 -S315400031500000000000000000000000000000000029 -S315400031600000000000000000000000000000000019 -S315400031700000000000000000000000000000000009 -S3154000318000000000000000000000000000000000F9 -S3154000319000000000000000000000000000000000E9 -S315400031A000000000000000000000000000000000D9 -S315400031B000000000000000000000000000000000C9 -S315400031C000000000000000000000000000000000B9 -S315400031D000000000000000000000000000000000A9 -S315400031E00000000000000000000000000000000099 -S315400031F00000000000000000000000000000000089 -S315400032000000000000000000000000000000000078 -S315400032100000000000000000000000000000000068 -S315400032200000000000000000000000000000000058 -S315400032300000000000000000000000000000000048 -S315400032400000000000000000000000000000000038 -S315400032500000000000000000000000000000000028 -S315400032600000000000000000000000000000000018 -S315400032700000000000000000000000000000000008 -S3154000328000000000000000000000000000000000F8 -S3154000329000000000000000000000000000000000E8 -S315400032A000000000000000000000000000000000D8 -S315400032B000000000000000000000000000000000C8 -S315400032C000000000000000000000000000000000B8 -S315400032D000000000000000000000000000000000A8 -S315400032E00000000000000000000000000000000098 -S315400032F00000000000000000000000000000000088 -S315400033000000000000000000000000000000000077 -S315400033100000000000000000000000000000000067 -S315400033200000000000000000000000000000000057 -S315400033300000000000000000000000000000000047 -S315400033400000000000000000000000000000000037 -S315400033500000000000000000000000000000000027 -S315400033600000000000000000000000000000000017 -S315400033700000000000000000000000000000000007 -S3154000338000000000000000000000000000000000F7 -S3154000339000000000000000000000000000000000E7 -S315400033A000000000000000000000000000000000D7 -S315400033B000000000000000000000000000000000C7 -S315400033C000000000000000000000000000000000B7 -S315400033D000000000000000000000000000000000A7 -S315400033E00000000000000000000000000000000097 -S315400033F00000000000000000000000000000000087 -S315400034000000000000000000000000000000000076 -S315400034100000000000000000000000000000000066 -S315400034200000000000000000000000000000000056 -S315400034300000000000000000000000000000000046 -S315400034400000000000000000000000000000000036 -S315400034500000000000000000000000000000000026 -S315400034600000000000000000000000000000000016 -S315400034700000000000000000000000000000000006 -S3154000348000000000000000000000000000000000F6 -S3154000349000000000000000000000000000000000E6 -S315400034A000000000000000000000000000000000D6 -S315400034B000000000000000000000000000000000C6 -S315400034C000000000000000000000000000000000B6 -S315400034D000000000000000000000000000000000A6 -S315400034E00000000000000000000000000000000096 -S315400034F00000000000000000000000000000000086 -S315400035000000000000000000000000000000000075 -S315400035100000000000000000000000000000000065 -S315400035200000000000000000000000000000000055 -S315400035300000000000000000000000000000000045 -S315400035400000000000000000000000000000000035 -S315400035500000000000000000000000000000000025 -S315400035600000000000000000000000000000000015 -S315400035700000000000000000000000000000000005 -S3154000358000000000000000000000000000000000F5 -S3154000359000000000000000000000000000000000E5 -S315400035A000000000000000000000000000000000D5 -S315400035B000000000000000000000000000000000C5 -S315400035C000000000000000000000000000000000B5 -S315400035D000000000000000000000000000000000A5 -S315400035E00000000000000000000000000000000095 -S315400035F00000000000000000000000000000000085 -S315400036000000000000000000000000000000000074 -S315400036100000000000000000000000000000000064 -S315400036200000000000000000000000000000000054 -S315400036300000000000000000000000000000000044 -S315400036400000000000000000000000000000000034 -S315400036500000000000000000000000000000000024 -S315400036600000000000000000000000000000000014 -S315400036700000000000000000000000000000000004 -S3154000368000000000000000000000000000000000F4 -S3154000369000000000000000000000000000000000E4 -S315400036A000000000000000000000000000000000D4 -S315400036B000000000000000000000000000000000C4 -S315400036C000000000000000000000000000000000B4 -S315400036D000000000000000000000000000000000A4 -S315400036E00000000000000000000000000000000094 -S315400036F00000000000000000000000000000000084 -S315400037000000000000000000000000000000000073 -S315400037100000000000000000000000000000000063 -S315400037200000000000000000000000000000000053 -S315400037300000000000000000000000000000000043 -S315400037400000000000000000000000000000000033 -S315400037500000000000000000000000000000000023 -S315400037600000000000000000000000000000000013 -S315400037700000000000000000000000000000000003 -S3154000378000000000000000000000000000000000F3 -S3154000379000000000000000000000000000000000E3 -S315400037A000000000000000000000000000000000D3 -S315400037B000000000000000000000000000000000C3 -S315400037C000000000000000000000000000000000B3 -S315400037D000000000000000000000000000000000A3 -S315400037E00000000000000000000000000000000093 -S315400037F00000000000000000000000000000000083 -S315400038000000000000000000000000000000000072 -S315400038100000000000000000000000000000000062 -S315400038200000000000000000000000000000000052 -S315400038300000000000000000000000000000000042 -S315400038400000000000000000000000000000000032 -S315400038500000000000000000000000000000000022 -S315400038600000000000000000000000000000000012 -S315400038700000000000000000000000000000000002 -S3154000388000000000000000000000000000000000F2 -S3154000389000000000000000000000000000000000E2 -S315400038A000000000000000000000000000000000D2 -S315400038B000000000000000000000000000000000C2 -S315400038C000000000000000000000000000000000B2 -S315400038D000000000000000000000000000000000A2 -S315400038E00000000000000000000000000000000092 -S315400038F00000000000000000000000000000000082 -S315400039000000000000000000000000000000000071 -S315400039100000000000000000000000000000000061 -S315400039200000000000000000000000000000000051 -S315400039300000000000000000000000000000000041 -S315400039400000000000000000000000000000000031 -S315400039500000000000000000000000000000000021 -S315400039600000000000000000000000000000000011 -S315400039700000000000000000000000000000000001 -S3154000398000000000000000000000000000000000F1 -S3154000399000000000000000000000000000000000E1 -S315400039A000000000000000000000000000000000D1 -S315400039B000000000000000000000000000000000C1 -S315400039C000000000000000000000000000000000B1 -S315400039D000000000000000000000000000000000A1 -S315400039E00000000000000000000000000000000091 -S315400039F00000000000000000000000000000000081 -S31540003A000000000000000000000000000000000070 -S31540003A100000000000000000000000000000000060 -S31540003A200000000000000000000000000000000050 -S31540003A300000000000000000000000000000000040 -S31540003A400000000000000000000000000000000030 -S31540003A500000000000000000000000000000000020 -S31540003A600000000000000000000000000000000010 -S31540003A700000000000000000000000000000000000 -S31540003A8000000000000000000000000000000000F0 -S31540003A9000000000000000000000000000000000E0 -S31540003AA000000000000000000000000000000000D0 -S31540003AB000000000000000000000000000000000C0 -S31540003AC000000000000000000000000000000000B0 -S31540003AD000000000000000000000000000000000A0 -S31540003AE00000000000000000000000000000000090 -S31540003AF00000000000000000000000000000000080 -S31540003B00000000000000000000000000000000006F -S31540003B10000000000000000000000000000000005F -S31540003B20000000000000000000000000000000004F -S31540003B30000000000000000000000000000000003F -S31540003B40000000000000000000000000000000002F -S31540003B50000000000000000000000000000000001F -S31540003B60000000000000000000000000000000000F -S31540003B7000000000000000000000000000000000FF -S31540003B8000000000000000000000000000000000EF -S31540003B9000000000000000000000000000000000DF -S31540003BA000000000000000000000000000000000CF -S31540003BB000000000000000000000000000000000BF -S31540003BC000000000000000000000000000000000AF -S31540003BD0000000000000000000000000000000009F -S31540003BE0000000000000000000000000000000008F -S31540003BF0000000000000000000000000000000007F -S31540003C00000000000000000000000000000000006E -S31540003C10000000000000000000000000000000005E -S31540003C20000000000000000000000000000000004E -S31540003C30000000000000000000000000000000003E -S31540003C40000000000000000000000000000000002E -S31540003C50000000000000000000000000000000001E -S31540003C60000000000000000000000000000000000E -S31540003C7000000000000000000000000000000000FE -S31540003C8000000000000000000000000000000000EE -S31540003C9000000000000000000000000000000000DE -S31540003CA000000000000000000000000000000000CE -S31540003CB000000000000000000000000000000000BE -S31540003CC000000000000000000000000000000000AE -S31540003CD0000000000000000000000000000000009E -S31540003CE0000000000000000000000000000000008E -S31540003CF0000000000000000000000000000000007E -S31540003D00000000000000000000000000000000006D -S31540003D10000000000000000000000000000000005D -S31540003D20000000000000000000000000000000004D -S31540003D30000000000000000000000000000000003D -S31540003D40000000000000000000000000000000002D -S31540003D50000000000000000000000000000000001D -S31540003D60000000000000000000000000000000000D -S31540003D7000000000000000000000000000000000FD -S31540003D8000000000000000000000000000000000ED -S31540003D9000000000000000000000000000000000DD -S31540003DA000000000000000000000000000000000CD -S31540003DB000000000000000000000000000000000BD -S31540003DC000000000000000000000000000000000AD -S31540003DD0000000000000000000000000000000009D -S31540003DE0000000000000000000000000000000008D -S31540003DF0000000000000000000000000000000007D -S31540003E00000000000000000000000000000000006C -S31540003E10000000000000000000000000000000005C -S31540003E20000000000000000000000000000000004C -S31540003E30000000000000000000000000000000003C -S31540003E40000000000000000000000000000000002C -S31540003E50000000000000000000000000000000001C -S31540003E60000000000000000000000000000000000C -S31540003E7000000000000000000000000000000000FC -S31540003E8000000000000000000000000000000000EC -S31540003E9000000000000000000000000000000000DC -S31540003EA000000000000000000000000000000000CC -S31540003EB000000000000000000000000000000000BC -S31540003EC000000000000000000000000000000000AC -S31540003ED0000000000000000000000000000000009C -S31540003EE0000000000000000000000000000000008C -S31540003EF0000000000000000000000000000000007C -S31540003F00000000000000000000000000000000006B -S31540003F10000000000000000000000000000000005B -S31540003F20000000000000000000000000000000004B -S31540003F30000000000000000000000000000000003B -S31540003F40000000000000000000000000000000002B -S31540003F50000000000000000000000000000000001B -S31540003F60000000000000000000000000000000000B -S31540003F7000000000000000000000000000000000FB -S31540003F8000000000000000000000000000000000EB -S31540003F9000000000000000000000000000000000DB -S31540003FA000000000000000000000000000000000CB -S31540003FB000000000000000000000000000000000BB -S31540003FC000000000000000000000000000000000AB -S31540003FD0000000000000000000000000000000009B -S31540003FE0000000000000000000000000000000008B -S31540003FF0000000000000000000000000000000007B -S31540004000000000000000000000000000000000006A -S31540004010000000000000000000000000000000005A -S31540004020000000000000000000000000000000004A -S31540004030000000000000000000000000000000003A -S31540004040000000000000000000000000000000002A -S31540004050000000000000000000000000000000001A -S31540004060000000000000000000000000000000000A -S3154000407000000000000000000000000000000000FA -S3154000408000000000000000000000000000000000EA -S3154000409000000000000000000000000000000000DA -S315400040A000000000000000000000000000000000CA -S315400040B000000000000000000000000000000000BA -S315400040C000000000000000000000000000000000AA -S315400040D0000000000000000000000000000000009A -S315400040E0000000000000000000000000000000008A -S315400040F0000000000000000000000000000000007A -S315400041000000000000000000000000000000000069 -S315400041100000000000000000000000000000000059 -S315400041200000000000000000000000000000000049 -S315400041300000000000000000000000000000000039 -S315400041400000000000000000000000000000000029 -S315400041500000000000000000000000000000000019 -S315400041600000000000000000000000000000000009 -S3154000417000000000000000000000000000000000F9 -S3154000418000000000000000000000000000000000E9 -S3154000419000000000000000000000000000000000D9 -S315400041A000000000000000000000000000000000C9 -S315400041B000000000000000000000000000000000B9 -S315400041C000000000000000000000000000000000A9 -S315400041D00000000000000000000000000000000099 -S315400041E00000000000000000000000000000000089 -S315400041F00000000000000000000000000000000079 -S315400042000000000000000000000000000000000068 -S315400042100000000000000000000000000000000058 -S315400042200000000000000000000000000000000048 -S315400042300000000000000000000000000000000038 -S315400042400000000000000000000000000000000028 -S315400042500000000000000000000000000000000018 -S315400042600000000000000000000000000000000008 -S3154000427000000000000000000000000000000000F8 -S3154000428000000000000000000000000000000000E8 -S3154000429000000000000000000000000000000000D8 -S315400042A000000000000000000000000000000000C8 -S315400042B000000000000000000000000000000000B8 -S315400042C000000000000000000000000000000000A8 -S315400042D00000000000000000000000000000000098 -S315400042E00000000000000000000000000000000088 -S315400042F00000000000000000000000000000000078 -S315400043000000000000000000000000000000000067 -S315400043100000000000000000000000000000000057 -S315400043200000000000000000000000000000000047 -S315400043300000000000000000000000000000000037 -S315400043400000000000000000000000000000000027 -S315400043500000000000000000000000000000000017 -S315400043600000000000000000000000000000000007 -S3154000437000000000000000000000000000000000F7 -S3154000438000000000000000000000000000000000E7 -S3154000439000000000000000000000000000000000D7 -S315400043A000000000000000000000000000000000C7 -S315400043B000000000000000000000000000000000B7 -S315400043C000000000000000000000000000000000A7 -S315400043D00000000000000000000000000000000097 -S315400043E00000000000000000000000000000000087 -S315400043F00000000000000000000000000000000077 -S315400044000000000000000000000000000000000066 -S315400044100000000000000000000000000000000056 -S315400044200000000000000000000000000000000046 -S315400044300000000000000000000000000000000036 -S315400044400000000000000000000000000000000026 -S315400044500000000000000000000000000000000016 -S315400044600000000000000000000000000000000006 -S3154000447000000000000000000000000000000000F6 -S3154000448000000000000000000000000000000000E6 -S3154000449000000000000000000000000000000000D6 -S315400044A000000000000000000000000000000000C6 -S315400044B000000000000000000000000000000000B6 -S315400044C000000000000000000000000000000000A6 -S315400044D00000000000000000000000000000000096 -S315400044E00000000000000000000000000000000086 -S315400044F00000000000000000000000000000000076 -S315400045000000000000000000000000000000000065 -S315400045100000000000000000000000000000000055 -S315400045200000000000000000000000000000000045 -S315400045300000000000000000000000000000000035 -S315400045400000000000000000000000000000000025 -S315400045500000000000000000000000000000000015 -S315400045600000000000000000000000000000000005 -S3154000457000000000000000000000000000000000F5 -S3154000458000000000000000000000000000000000E5 -S3154000459000000000000000000000000000000000D5 -S315400045A000000000000000000000000000000000C5 -S315400045B000000000000000000000000000000000B5 -S315400045C000000000000000000000000000000000A5 -S315400045D00000000000000000000000000000000095 -S315400045E00000000000000000000000000000000085 -S315400045F00000000000000000000000000000000075 -S315400046000000000000000000000000000000000064 -S315400046100000000000000000000000000000000054 -S315400046200000000000000000000000000000000044 -S315400046300000000000000000000000000000000034 -S315400046400000000000000000000000000000000024 -S315400046500000000000000000000000000000000014 -S315400046600000000000000000000000000000000004 -S3154000467000000000000000000000000000000000F4 -S3154000468000000000000000000000000000000000E4 -S3154000469000000000000000000000000000000000D4 -S315400046A000000000000000000000000000000000C4 -S315400046B000000000000000000000000000000000B4 -S315400046C000000000000000000000000000000000A4 -S315400046D00000000000000000000000000000000094 -S315400046E00000000000000000000000000000000084 -S315400046F00000000000000000000000000000000074 -S315400047000000000000000000000000000000000063 -S315400047100000000000000000000000000000000053 -S315400047200000000000000000000000000000000043 -S315400047300000000000000000000000000000000033 -S315400047400000000000000000000000000000000023 -S315400047500000000000000000000000000000000013 -S315400047600000000000000000000000000000000003 -S3154000477000000000000000000000000000000000F3 -S3154000478000000000000000000000000000000000E3 -S3154000479000000000000000000000000000000000D3 -S315400047A000000000000000000000000000000000C3 -S315400047B000000000000000000000000000000000B3 -S315400047C000000000000000000000000000000000A3 -S315400047D00000000000000000000000000000000093 -S315400047E00000000000000000000000000000000083 -S315400047F00000000000000000000000000000000073 -S315400048000000000000000000000000000000000062 -S315400048100000000000000000000000000000000052 -S315400048200000000000000000000000000000000042 -S315400048300000000000000000000000000000000032 -S315400048400000000000000000000000000000000022 -S315400048500000000000000000000000000000000012 -S315400048600000000000000000000000000000000002 -S3154000487000000000000000000000000000000000F2 -S3154000488000000000000000000000000000000000E2 -S3154000489000000000000000000000000000000000D2 -S315400048A000000000000000000000000000000000C2 -S315400048B000000000000000000000000000000000B2 -S315400048C000000000000000000000000000000000A2 -S315400048D00000000000000000000000000000000092 -S315400048E00000000000000000000000000000000082 -S315400048F00000000000000000000000000000000072 -S315400049000000000000000000000000000000000061 -S315400049100000000000000000000000000000000051 -S315400049200000000000000000000000000000000041 -S315400049300000000000000000000000000000000031 -S315400049400000000000000000000000000000000021 -S315400049500000000000000000000000000000000011 -S315400049600000000000000000000000000000000001 -S3154000497000000000000000000000000000000000F1 -S3154000498000000000000000000000000000000000E1 -S3154000499000000000000000000000000000000000D1 -S315400049A000000000000000000000000000000000C1 -S315400049B000000000000000000000000000000000B1 -S315400049C000000000000000000000000000000000A1 -S315400049D00000000000000000000000000000000091 -S315400049E00000000000000000000000000000000081 -S315400049F00000000000000000000000000000000071 -S31540004A000000000000000000000000000000000060 -S31540004A100000000000000000000000000000000050 -S31540004A200000000000000000000000000000000040 -S31540004A300000000000000000000000000000000030 -S31540004A400000000000000000000000000000000020 -S31540004A500000000000000000000000000000000010 -S31540004A600000000000000000000000000000000000 -S31540004A7000000000000000000000000000000000F0 -S31540004A8000000000000000000000000000000000E0 -S31540004A9000000000000000000000000000000000D0 -S31540004AA000000000000000000000000000000000C0 -S31540004AB000000000000000000000000000000000B0 -S31540004AC000000000000000000000000000000000A0 -S31540004AD00000000000000000000000000000000090 -S31540004AE00000000000000000000000000000000080 -S31540004AF00000000000000000000000000000000070 -S31540004B00000000000000000000000000000000005F -S31540004B10000000000000000000000000000000004F -S31540004B20000000000000000000000000000000003F -S31540004B30000000000000000000000000000000002F -S31540004B40000000000000000000000000000000001F -S31540004B50000000000000000000000000000000000F -S31540004B6000000000000000000000000000000000FF -S31540004B7000000000000000000000000000000000EF -S31540004B8000000000000000000000000000000000DF -S31540004B9000000000000000000000000000000000CF -S31540004BA000000000000000000000000000000000BF -S31540004BB000000000000000000000000000000000AF -S31540004BC0000000000000000000000000000000009F -S31540004BD0000000000000000000000000000000008F -S31540004BE0000000000000000000000000000000007F -S31540004BF0000000000000000000000000000000006F -S31540004C00000000000000000000000000000000005E -S31540004C10000000000000000000000000000000004E -S31540004C20000000000000000000000000000000003E -S31540004C30000000000000000000000000000000002E -S31540004C40000000000000000000000000000000001E -S31540004C50000000000000000000000000000000000E -S31540004C6000000000000000000000000000000000FE -S31540004C7000000000000000000000000000000000EE -S31540004C8000000000000000000000000000000000DE -S31540004C9000000000000000000000000000000000CE -S31540004CA000000000000000000000000000000000BE -S31540004CB000000000000000000000000000000000AE -S31540004CC0000000000000000000000000000000009E -S31540004CD0000000000000000000000000000000008E -S31540004CE0000000000000000000000000000000007E -S31540004CF0000000000000000000000000000000006E -S31540004D00000000000000000000000000000000005D -S31540004D10000000000000000000000000000000004D -S31540004D20000000000000000000000000000000003D -S31540004D30000000000000000000000000000000002D -S31540004D40000000000000000000000000000000001D -S31540004D50000000000000000000000000000000000D -S31540004D6000000000000000000000000000000000FD -S31540004D7000000000000000000000000000000000ED -S31540004D8000000000000000000000000000000000DD -S31540004D9000000000000000000000000000000000CD -S31540004DA000000000000000000000000000000000BD -S31540004DB000000000000000000000000000000000AD -S31540004DC0000000000000000000000000000000009D -S31540004DD0000000000000000000000000000000008D -S31540004DE0000000000000000000000000000000007D -S31540004DF0000000000000000000000000000000006D -S31540004E00000000000000000000000000000000005C -S31540004E10000000000000000000000000000000004C -S31540004E20000000000000000000000000000000003C -S31540004E30000000000000000000000000000000002C -S31540004E40000000000000000000000000000000001C -S31540004E50000000000000000000000000000000000C -S31540004E6000000000000000000000000000000000FC -S31540004E7000000000000000000000000000000000EC -S31540004E8000000000000000000000000000000000DC -S31540004E9000000000000000000000000000000000CC -S31540004EA000000000000000000000000000000000BC -S31540004EB000000000000000000000000000000000AC -S31540004EC0000000000000000000000000000000009C -S31540004ED0000000000000000000000000000000008C -S31540004EE0000000000000000000000000000000007C -S31540004EF0000000000000000000000000000000006C -S31540004F00000000000000000000000000000000005B -S31540004F10000000000000000000000000000000004B -S31540004F20000000000000000000000000000000003B -S31540004F30000000000000000000000000000000002B -S31540004F40000000000000000000000000000000001B -S31540004F50000000000000000000000000000000000B -S31540004F6000000000000000000000000000000000FB -S31540004F7000000000000000000000000000000000EB -S31540004F8000000000000000000000000000000000DB -S31540004F9000000000000000000000000000000000CB -S31540004FA000000000000000000000000000000000BB -S31540004FB000000000000000000000000000000000AB -S31540004FC0000000000000000000000000000000009B -S31540004FD0000000000000000000000000000000008B -S31540004FE0000000000000000000000000000000007B -S31540004FF0000000000000000000000000000000006B -S31540005000000000000000000000000000000000005A -S31540005010000000000000000000000000000000004A -S31540005020000000000000000000000000000000003A -S31540005030000000000000000000000000000000002A -S31540005040000000000000000000000000000000001A -S31540005050000000000000000000000000000000000A -S3154000506000000000000000000000000000000000FA -S3154000507000000000000000000000000000000000EA -S3154000508000000000000000000000000000000000DA -S3154000509000000000000000000000000000000000CA -S315400050A000000000000000000000000000000000BA -S315400050B000000000000000000000000000000000AA -S315400050C0000000000000000000000000000000009A -S315400050D0000000000000000000000000000000008A -S315400050E0000000000000000000000000000000007A -S315400050F0000000000000000000000000000000006A -S315400051000000000000000000000000000000000059 -S315400051100000000000000000000000000000000049 -S315400051200000000000000000000000000000000039 -S315400051300000000000000000000000000000000029 -S315400051400000000000000000000000000000000019 -S315400051500000000000000000000000000000000009 -S3154000516000000000000000000000000000000000F9 -S3154000517000000000000000000000000000000000E9 -S3154000518000000000000000000000000000000000D9 -S3154000519000000000000000000000000000000000C9 -S315400051A000000000000000000000000000000000B9 -S315400051B000000000000000000000000000000000A9 -S315400051C00000000000000000000000000000000099 -S315400051D00000000000000000000000000000000089 -S315400051E00000000000000000000000000000000079 -S315400051F00000000000000000000000000000000069 -S315400052000000000000000000000000000000000058 -S315400052100000000000000000000000000000000048 -S315400052200000000000000000000000000000000038 -S315400052300000000000000000000000000000000028 -S315400052400000000000000000000000000000000018 -S315400052500000000000000000000000000000000008 -S3154000526000000000000000000000000000000000F8 -S3154000527000000000000000000000000000000000E8 -S3154000528000000000000000000000000000000000D8 -S3154000529000000000000000000000000000000000C8 -S315400052A000000000000000000000000000000000B8 -S315400052B000000000000000000000000000000000A8 -S315400052C00000000000000000000000000000000098 -S315400052D00000000000000000000000000000000088 -S315400052E00000000000000000000000000000000078 -S315400052F00000000000000000000000000000000068 -S315400053000000000000000000000000000000000057 -S315400053100000000000000000000000000000000047 -S315400053200000000000000000000000000000000037 -S315400053300000000000000000000000000000000027 -S315400053400000000000000000000000000000000017 -S315400053500000000000000000000000000000000007 -S3154000536000000000000000000000000000000000F7 -S3154000537000000000000000000000000000000000E7 -S3154000538000000000000000000000000000000000D7 -S3154000539000000000000000000000000000000000C7 -S315400053A000000000000000000000000000000000B7 -S315400053B000000000000000000000000000000000A7 -S315400053C00000000000000000000000000000000097 -S315400053D00000000000000000000000000000000087 -S315400053E00000000000000000000000000000000077 -S315400053F00000000000000000000000000000000067 -S315400054000000000000000000000000000000000056 -S315400054100000000000000000000000000000000046 -S315400054200000000000000000000000000000000036 -S315400054300000000000000000000000000000000026 -S315400054400000000000000000000000000000000016 -S315400054500000000000000000000000000000000006 -S3154000546000000000000000000000000000000000F6 -S3154000547000000000000000000000000000000000E6 -S3154000548000000000000000000000000000000000D6 -S3154000549000000000000000000000000000000000C6 -S315400054A000000000000000000000000000000000B6 -S315400054B000000000000000000000000000000000A6 -S315400054C00000000000000000000000000000000096 -S315400054D00000000000000000000000000000000086 -S315400054E00000000000000000000000000000000076 -S315400054F00000000000000000000000000000000066 -S315400055000000000000000000000000000000000055 -S315400055100000000000000000000000000000000045 -S315400055200000000000000000000000000000000035 -S315400055300000000000000000000000000000000025 -S315400055400000000000000000000000000000000015 -S315400055500000000000000000000000000000000005 -S3154000556000000000000000000000000000000000F5 -S3154000557000000000000000000000000000000000E5 -S3154000558000000000000000000000000000000000D5 -S3154000559000000000000000000000000000000000C5 -S315400055A000000000000000000000000000000000B5 -S315400055B000000000000000000000000000000000A5 -S315400055C00000000000000000000000000000000095 -S315400055D00000000000000000000000000000000085 -S315400055E00000000000000000000000000000000075 -S315400055F00000000000000000000000000000000065 -S315400056000000000000000000000000000000000054 -S315400056100000000000000000000000000000000044 -S315400056200000000000000000000000000000000034 -S315400056300000000000000000000000000000000024 -S315400056400000000000000000000000000000000014 -S315400056500000000000000000000000000000000004 -S3154000566000000000000000000000000000000000F4 -S3154000567000000000000000000000000000000000E4 -S3154000568000000000000000000000000000000000D4 -S3154000569000000000000000000000000000000000C4 -S315400056A000000000000000000000000000000000B4 -S315400056B000000000000000000000000000000000A4 -S315400056C00000000000000000000000000000000094 -S315400056D00000000000000000000000000000000084 -S315400056E00000000000000000000000000000000074 -S315400056F00000000000000000000000000000000064 -S315400057000000000000000000000000000000000053 -S315400057100000000000000000000000000000000043 -S315400057200000000000000000000000000000000033 -S315400057300000000000000000000000000000000023 -S315400057400000000000000000000000000000000013 -S315400057500000000000000000000000000000000003 -S3154000576000000000000000000000000000000000F3 -S3154000577000000000000000000000000000000000E3 -S3154000578000000000000000000000000000000000D3 -S3154000579000000000000000000000000000000000C3 -S315400057A000000000000000000000000000000000B3 -S315400057B000000000000000000000000000000000A3 -S315400057C00000000000000000000000000000000093 -S315400057D00000000000000000000000000000000083 -S315400057E00000000000000000000000000000000073 -S315400057F00000000000000000000000000000000063 -S315400058000000000000000000000000000000000052 -S315400058100000000000000000000000000000000042 -S315400058200000000000000000000000000000000032 -S315400058300000000000000000000000000000000022 -S315400058400000000000000000000000000000000012 -S315400058500000000000000000000000000000000002 -S3154000586000000000000000000000000000000000F2 -S3154000587000000000000000000000000000000000E2 -S3154000588000000000000000000000000000000000D2 -S3154000589000000000000000000000000000000000C2 -S315400058A000000000000000000000000000000000B2 -S315400058B000000000000000000000000000000000A2 -S315400058C00000000000000000000000000000000092 -S315400058D00000000000000000000000000000000082 -S315400058E00000000000000000000000000000000072 -S315400058F00000000000000000000000000000000062 -S315400059000000000000000000000000000000000051 -S315400059100000000000000000000000000000000041 -S315400059200000000000000000000000000000000031 -S315400059300000000000000000000000000000000021 -S315400059400000000000000000000000000000000011 -S315400059500000000000000000000000000000000001 -S3154000596000000000000000000000000000000000F1 -S3154000597000000000000000000000000000000000E1 -S3154000598000000000000000000000000000000000D1 -S3154000599000000000000000000000000000000000C1 -S315400059A000000000000000000000000000000000B1 -S315400059B000000000000000000000000000000000A1 -S315400059C00000000000000000000000000000000091 -S315400059D00000000000000000000000000000000081 -S315400059E00000000000000000000000000000000071 -S315400059F00000000000000000000000000000000061 -S31540005A000000000000000000000000000000000050 -S31540005A100000000000000000000000000000000040 -S31540005A200000000000000000000000000000000030 -S31540005A300000000000000000000000000000000020 -S31540005A400000000000000000000000000000000010 -S31540005A500000000000000000000000000000000000 -S31540005A6000000000000000000000000000000000F0 -S31540005A7000000000000000000000000000000000E0 -S31540005A8000000000000000000000000000000000D0 -S31540005A9000000000000000000000000000000000C0 -S31540005AA000000000000000000000000000000000B0 -S31540005AB000000000000000000000000000000000A0 -S31540005AC00000000000000000000000000000000090 -S31540005AD00000000000000000000000000000000080 -S31540005AE00000000000000000000000000000000070 -S31540005AF00000000000000000000000000000000060 -S31540005B00000000000000000000000000000000004F -S31540005B10000000000000000000000000000000003F -S31540005B20000000000000000000000000000000002F -S31540005B30000000000000000000000000000000001F -S31540005B40000000000000000000000000000000000F -S31540005B5000000000000000000000000000000000FF -S31540005B6000000000000000000000000000000000EF -S31540005B7000000000000000000000000000000000DF -S31540005B8000000000000000000000000000000000CF -S31540005B9000000000000000000000000000000000BF -S31540005BA000000000000000000000000000000000AF -S31540005BB0000000000000000000000000000000009F -S31540005BC0000000000000000000000000000000008F -S31540005BD0000000000000000000000000000000007F -S31540005BE0000000000000000000000000000000006F -S31540005BF0000000000000000000000000000000005F -S31540005C00000000000000000000000000000000004E -S31540005C10000000000000000000000000000000003E -S31540005C20000000000000000000000000000000002E -S31540005C30000000000000000000000000000000001E -S31540005C40000000000000000000000000000000000E -S31540005C5000000000000000000000000000000000FE -S31540005C6000000000000000000000000000000000EE -S31540005C7000000000000000000000000000000000DE -S31540005C8000000000000000000000000000000000CE -S31540005C9000000000000000000000000000000000BE -S31540005CA000000000000000000000000000000000AE -S31540005CB0000000000000000000000000000000009E -S31540005CC0000000000000000000000000000000008E -S31540005CD0000000000000000000000000000000007E -S31540005CE0000000000000000000000000000000006E -S31540005CF0000000000000000000000000000000005E -S31540005D00000000000000000000000000000000004D -S31540005D10000000000000000000000000000000003D -S31540005D20000000000000000000000000000000002D -S31540005D30000000000000000000000000000000001D -S31540005D40000000000000000000000000000000000D -S31540005D5000000000000000000000000000000000FD -S31540005D6000000000000000000000000000000000ED -S31540005D7000000000000000000000000000000000DD -S31540005D8000000000000000000000000000000000CD -S31540005D9000000000000000000000000000000000BD -S31540005DA000000000000000000000000000000000AD -S31540005DB0000000000000000000000000000000009D -S31540005DC0000000000000000000000000000000008D -S31540005DD0000000000000000000000000000000007D -S31540005DE0000000000000000000000000000000006D -S31540005DF0000000000000000000000000000000005D -S31540005E00000000000000000000000000000000004C -S31540005E10000000000000000000000000000000003C -S31540005E20000000000000000000000000000000002C -S31540005E30000000000000000000000000000000001C -S31540005E40000000000000000000000000000000000C -S31540005E5000000000000000000000000000000000FC -S31540005E6000000000000000000000000000000000EC -S31540005E7000000000000000000000000000000000DC -S31540005E8000000000000000000000000000000000CC -S31540005E9000000000000000000000000000000000BC -S31540005EA000000000000000000000000000000000AC -S31540005EB0000000000000000000000000000000009C -S31540005EC0000000000000000000000000000000008C -S31540005ED0000000000000000000000000000000007C -S31540005EE0000000000000000000000000000000006C -S31540005EF0000000000000000000000000000000005C -S31540005F00000000000000000000000000000000004B -S31540005F10000000000000000000000000000000003B -S31540005F20000000000000000000000000000000002B -S31540005F30000000000000000000000000000000001B -S31540005F40000000000000000000000000000000000B -S31540005F5000000000000000000000000000000000FB -S31540005F6000000000000000000000000000000000EB -S31540005F7000000000000000000000000000000000DB -S31540005F8000000000000000000000000000000000CB -S31540005F9000000000000000000000000000000000BB -S31540005FA000000000000000000000000000000000AB -S31540005FB0000000000000000000000000000000009B -S31540005FC0000000000000000000000000000000008B -S31540005FD0000000000000000000000000000000007B -S31540005FE0000000000000000000000000000000006B -S31540005FF0000000000000000000000000000000005B -S31540006000000000000000000000000000000000004A -S31540006010000000000000000000000000000000003A -S31540006020000000000000000000000000000000002A -S31540006030000000000000000000000000000000001A -S31540006040000000000000000000000000000000000A -S3154000605000000000000000000000000000000000FA -S3154000606000000000000000000000000000000000EA -S3154000607000000000000000000000000000000000DA -S3154000608000000000000000000000000000000000CA -S3154000609000000000000000000000000000000000BA -S315400060A000000000000000000000000000000000AA -S315400060B0000000000000000000000000000000009A -S315400060C0000000000000000000000000000000008A -S315400060D0000000000000000000000000000000007A -S315400060E0000000000000000000000000000000006A -S315400060F0000000000000000000000000000000005A -S315400061000000000000000000000000000000000049 -S315400061100000000000000000000000000000000039 -S315400061200000000000000000000000000000000029 -S315400061300000000000000000000000000000000019 -S315400061400000000000000000000000000000000009 -S3154000615000000000000000000000000000000000F9 -S3154000616000000000000000000000000000000000E9 -S3154000617000000000000000000000000000000000D9 -S3154000618000000000000000000000000000000000C9 -S3154000619000000000000000000000000000000000B9 -S315400061A000000000000000000000000000000000A9 -S315400061B00000000000000000000000000000000099 -S315400061C00000000000000000000000000000000089 -S315400061D00000000000000000000000000000000079 -S315400061E00000000000000000000000000000000069 -S315400061F00000000000000000000000000000000059 -S315400062000000000000000000000000000000000048 -S315400062100000000000000000000000000000000038 -S315400062200000000000000000000000000000000028 -S315400062300000000000000000000000000000000018 -S315400062400000000000000000000000000000000008 -S3154000625000000000000000000000000000000000F8 -S3154000626000000000000000000000000000000000E8 -S3154000627000000000000000000000000000000000D8 -S3154000628000000000000000000000000000000000C8 -S3154000629000000000000000000000000000000000B8 -S315400062A000000000000000000000000000000000A8 -S315400062B00000000000000000000000000000000098 -S315400062C00000000000000000000000000000000088 -S315400062D00000000000000000000000000000000078 -S315400062E00000000000000000000000000000000068 -S315400062F00000000000000000000000000000000058 -S315400063000000000000000000000000000000000047 -S315400063100000000000000000000000000000000037 -S315400063200000000000000000000000000000000027 -S315400063300000000000000000000000000000000017 -S315400063400000000000000000000000000000000007 -S3154000635000000000000000000000000000000000F7 -S3154000636000000000000000000000000000000000E7 -S3154000637000000000000000000000000000000000D7 -S3154000638000000000000000000000000000000000C7 -S3154000639000000000000000000000000000000000B7 -S315400063A000000000000000000000000000000000A7 -S315400063B00000000000000000000000000000000097 -S315400063C00000000000000000000000000000000087 -S315400063D00000000000000000000000000000000077 -S315400063E00000000000000000000000000000000067 -S315400063F00000000000000000000000000000000057 -S315400064000000000000000000000000000000000046 -S315400064100000000000000000000000000000000036 -S315400064200000000000000000000000000000000026 -S315400064300000000000000000000000000000000016 -S315400064400000000000000000000000000000000006 -S3154000645000000000000000000000000000000000F6 -S3154000646000000000000000000000000000000000E6 -S3154000647000000000000000000000000000000000D6 -S3154000648000000000000000000000000000000000C6 -S3154000649000000000000000000000000000000000B6 -S315400064A000000000000000000000000000000000A6 -S315400064B00000000000000000000000000000000096 -S315400064C00000000000000000000000000000000086 -S315400064D00000000000000000000000000000000076 -S315400064E00000000000000000000000000000000066 -S315400064F00000000000000000000000000000000056 -S315400065000000000000000000000000000000000045 -S315400065100000000000000000000000000000000035 -S315400065200000000000000000000000000000000025 -S315400065300000000000000000000000000000000015 -S315400065400000000000000000000000000000000005 -S3154000655000000000000000000000000000000000F5 -S3154000656000000000000000000000000000000000E5 -S3154000657000000000000000000000000000000000D5 -S3154000658000000000000000000000000000000000C5 -S3154000659000000000000000000000000000000000B5 -S315400065A000000000000000000000000000000000A5 -S315400065B00000000000000000000000000000000095 -S315400065C00000000000000000000000000000000085 -S315400065D00000000000000000000000000000000075 -S315400065E00000000000000000000000000000000065 -S315400065F00000000000000000000000000000000055 -S315400066000000000000000000000000000000000044 -S315400066100000000000000000000000000000000034 -S315400066200000000000000000000000000000000024 -S315400066300000000000000000000000000000000014 -S315400066400000000000000000000000000000000004 -S3154000665000000000000000000000000000000000F4 -S3154000666000000000000000000000000000000000E4 -S3154000667000000000000000000000000000000000D4 -S3154000668000000000000000000000000000000000C4 -S3154000669000000000000000000000000000000000B4 -S315400066A000000000000000000000000000000000A4 -S315400066B00000000000000000000000000000000094 -S315400066C00000000000000000000000000000000084 -S315400066D00000000000000000000000000000000074 -S315400066E00000000000000000000000000000000064 -S315400066F00000000000000000000000000000000054 -S315400067000000000000000000000000000000000043 -S315400067100000000000000000000000000000000033 -S315400067200000000000000000000000000000000023 -S315400067300000000000000000000000000000000013 -S315400067400000000000000000000000000000000003 -S3154000675000000000000000000000000000000000F3 -S3154000676000000000000000000000000000000000E3 -S3154000677000000000000000000000000000000000D3 -S3154000678000000000000000000000000000000000C3 -S3154000679000000000000000000000000000000000B3 -S315400067A000000000000000000000000000000000A3 -S315400067B00000000000000000000000000000000093 -S315400067C00000000000000000000000000000000083 -S315400067D00000000000000000000000000000000073 -S315400067E00000000000000000000000000000000063 -S315400067F00000000000000000000000000000000053 -S315400068000000000000000000000000000000000042 -S315400068100000000000000000000000000000000032 -S315400068200000000000000000000000000000000022 -S315400068300000000000000000000000000000000012 -S315400068400000000000000000000000000000000002 -S3154000685000000000000000000000000000000000F2 -S3154000686000000000000000000000000000000000E2 -S3154000687000000000000000000000000000000000D2 -S3154000688000000000000000000000000000000000C2 -S3154000689000000000000000000000000000000000B2 -S315400068A000000000000000000000000000000000A2 -S315400068B00000000000000000000000000000000092 -S315400068C00000000000000000000000000000000082 -S315400068D00000000000000000000000000000000072 -S315400068E00000000000000000000000000000000062 -S315400068F00000000000000000000000000000000052 -S315400069000000000000000000000000000000000041 -S315400069100000000000000000000000000000000031 -S315400069200000000000000000000000000000000021 -S315400069300000000000000000000000000000000011 -S315400069400000000000000000000000000000000001 -S3154000695000000000000000000000000000000000F1 -S3154000696000000000000000000000000000000000E1 -S3154000697000000000000000000000000000000000D1 -S3154000698000000000000000000000000000000000C1 -S3154000699000000000000000000000000000000000B1 -S315400069A000000000000000000000000000000000A1 -S315400069B00000000000000000000000000000000091 -S315400069C00000000000000000000000000000000081 -S315400069D00000000000000000000000000000000071 -S315400069E00000000000000000000000000000000061 -S315400069F00000000000000000000000000000000051 -S31540006A000000000000000000000000000000000040 -S31540006A100000000000000000000000000000000030 -S31540006A200000000000000000000000000000000020 -S31540006A300000000000000000000000000000000010 -S31540006A400000000000000000000000000000000000 -S31540006A5000000000000000000000000000000000F0 -S31540006A6000000000000000000000000000000000E0 -S31540006A7000000000000000000000000000000000D0 -S31540006A8000000000000000000000000000000000C0 -S31540006A9000000000000000000000000000000000B0 -S31540006AA000000000000000000000000000000000A0 -S31540006AB00000000000000000000000000000000090 -S31540006AC00000000000000000000000000000000080 -S31540006AD00000000000000000000000000000000070 -S31540006AE00000000000000000000000000000000060 -S31540006AF00000000000000000000000000000000050 -S31540006B00000000000000000000000000000000003F -S31540006B10000000000000000000000000000000002F -S31540006B20000000000000000000000000000000001F -S31540006B30000000000000000000000000000000000F -S31540006B4000000000000000000000000000000000FF -S31540006B5000000000000000000000000000000000EF -S31540006B6000000000000000000000000000000000DF -S31540006B7000000000000000000000000000000000CF -S31540006B8000000000000000000000000000000000BF -S31540006B9000000000000000000000000000000000AF -S31540006BA0000000000000000000000000000000009F -S31540006BB0000000000000000000000000000000008F -S31540006BC0000000000000000000000000000000007F -S31540006BD0000000000000000000000000000000006F -S31540006BE0000000000000000000000000000000005F -S31540006BF0000000000000000000000000000000004F -S31540006C00000000000000000000000000000000003E -S31540006C10000000000000000000000000000000002E -S31540006C20000000000000000000000000000000001E -S31540006C30000000000000000000000000000000000E -S31540006C4000000000000000000000000000000000FE -S31540006C5000000000000000000000000000000000EE -S31540006C6000000000000000000000000000000000DE -S31540006C7000000000000000000000000000000000CE -S31540006C8000000000000000000000000000000000BE -S31540006C9000000000000000000000000000000000AE -S31540006CA0000000000000000000000000000000009E -S31540006CB0000000000000000000000000000000008E -S31540006CC0000000000000000000000000000000007E -S31540006CD0000000000000000000000000000000006E -S31540006CE0000000000000000000000000000000005E -S31540006CF0000000000000000000000000000000004E -S31540006D00000000000000000000000000000000003D -S31540006D10000000000000000000000000000000002D -S31540006D20000000000000000000000000000000001D -S31540006D30000000000000000000000000000000000D -S31540006D4000000000000000000000000000000000FD -S31540006D5000000000000000000000000000000000ED -S31540006D6000000000000000000000000000000000DD -S31540006D7000000000000000000000000000000000CD -S31540006D8000000000000000000000000000000000BD -S31540006D9000000000000000000000000000000000AD -S31540006DA0000000000000000000000000000000009D -S31540006DB0000000000000000000000000000000008D -S31540006DC0000000000000000000000000000000007D -S31540006DD0000000000000000000000000000000006D -S31540006DE0000000000000000000000000000000005D -S31540006DF0000000000000000000000000000000004D -S31540006E00000000000000000000000000000000003C -S31540006E10000000000000000000000000000000002C -S31540006E20000000000000000000000000000000001C -S31540006E30000000000000000000000000000000000C -S31540006E4000000000000000000000000000000000FC -S31540006E5000000000000000000000000000000000EC -S31540006E6000000000000000000000000000000000DC -S31540006E7000000000000000000000000000000000CC -S31540006E8000000000000000000000000000000000BC -S31540006E9000000000000000000000000000000000AC -S31540006EA0000000000000000000000000000000009C -S31540006EB0000000000000000000000000000000008C -S31540006EC0000000000000000000000000000000007C -S31540006ED0000000000000000000000000000000006C -S31540006EE0000000000000000000000000000000005C -S31540006EF0000000000000000000000000000000004C -S31540006F00000000000000000000000000000000003B -S31540006F10000000000000000000000000000000002B -S31540006F20000000000000000000000000000000001B -S31540006F30000000000000000000000000000000000B -S31540006F4000000000000000000000000000000000FB -S31540006F5000000000000000000000000000000000EB -S31540006F6000000000000000000000000000000000DB -S31540006F7000000000000000000000000000000000CB -S31540006F8000000000000000000000000000000000BB -S31540006F9000000000000000000000000000000000AB -S31540006FA0000000000000000000000000000000009B -S31540006FB0000000000000000000000000000000008B -S31540006FC0000000000000000000000000000000007B -S31540006FD0000000000000000000000000000000006B -S31540006FE0000000000000000000000000000000005B -S31540006FF0000000000000000000000000000000004B -S31540007000000000000000000000000000000000003A -S31540007010000000000000000000000000000000002A -S31540007020000000000000000000000000000000001A -S31540007030000000000000000000000000000000000A -S3154000704000000000000000000000000000000000FA -S3154000705000000000000000000000000000000000EA -S3154000706000000000000000000000000000000000DA -S3154000707000000000000000000000000000000000CA -S3154000708000000000000000000000000000000000BA -S3154000709000000000000000000000000000000000AA -S315400070A0000000000000000000000000000000009A -S315400070B0000000000000000000000000000000008A -S315400070C0000000000000000000000000000000007A -S315400070D0000000000000000000000000000000006A -S315400070E0000000000000000000000000000000005A -S315400070F0000000000000000000000000000000004A -S315400071000000000000000000000000000000000039 -S315400071100000000000000000000000000000000029 -S315400071200000000000000000000000000000000019 -S315400071300000000000000000000000000000000009 -S3154000714000000000000000000000000000000000F9 -S3154000715000000000000000000000000000000000E9 -S3154000716000000000000000000000000000000000D9 -S3154000717000000000000000000000000000000000C9 -S3154000718000000000000000000000000000000000B9 -S3154000719000000000000000000000000000000000A9 -S315400071A00000000000000000000000000000000099 -S315400071B00000000000000000000000000000000089 -S315400071C00000000000000000000000000000000079 -S315400071D00000000000000000000000000000000069 -S315400071E00000000000000000000000000000000059 -S315400071F00000000000000000000000000000000049 -S315400072000000000000000000000000000000000038 -S315400072100000000000000000000000000000000028 -S315400072200000000000000000000000000000000018 -S315400072300000000000000000000000000000000008 -S3154000724000000000000000000000000000000000F8 -S3154000725000000000000000000000000000000000E8 -S3154000726000000000000000000000000000000000D8 -S3154000727000000000000000000000000000000000C8 -S3154000728000000000000000000000000000000000B8 -S3154000729000000000000000000000000000000000A8 -S315400072A00000000000000000000000000000000098 -S315400072B00000000000000000000000000000000088 -S315400072C00000000000000000000000000000000078 -S315400072D00000000000000000000000000000000068 -S315400072E00000000000000000000000000000000058 -S315400072F00000000000000000000000000000000048 -S315400073000000000000000000000000000000000037 -S315400073100000000000000000000000000000000027 -S315400073200000000000000000000000000000000017 -S315400073300000000000000000000000000000000007 -S3154000734000000000000000000000000000000000F7 -S3154000735000000000000000000000000000000000E7 -S3154000736000000000000000000000000000000000D7 -S3154000737000000000000000000000000000000000C7 -S3154000738000000000000000000000000000000000B7 -S3154000739000000000000000000000000000000000A7 -S315400073A00000000000000000000000000000000097 -S315400073B00000000000000000000000000000000087 -S315400073C00000000000000000000000000000000077 -S315400073D00000000000000000000000000000000067 -S315400073E00000000000000000000000000000000057 -S315400073F00000000000000000000000000000000047 -S315400074000000000000000000000000000000000036 -S315400074100000000000000000000000000000000026 -S315400074200000000000000000000000000000000016 -S315400074300000000000000000000000000000000006 -S3154000744000000000000000000000000000000000F6 -S3154000745000000000000000000000000000000000E6 -S3154000746000000000000000000000000000000000D6 -S3154000747000000000000000000000000000000000C6 -S3154000748000000000000000000000000000000000B6 -S3154000749000000000000000000000000000000000A6 -S315400074A00000000000000000000000000000000096 -S315400074B00000000000000000000000000000000086 -S315400074C00000000000000000000000000000000076 -S315400074D00000000000000000000000000000000066 -S315400074E00000000000000000000000000000000056 -S315400074F00000000000000000000000000000000046 -S315400075000000000000000000000000000000000035 -S315400075100000000000000000000000000000000025 -S315400075200000000000000000000000000000000015 -S315400075300000000000000000000000000000000005 -S3154000754000000000000000000000000000000000F5 -S3154000755000000000000000000000000000000000E5 -S3154000756000000000000000000000000000000000D5 -S3154000757000000000000000000000000000000000C5 -S3154000758000000000000000000000000000000000B5 -S3154000759000000000000000000000000000000000A5 -S315400075A00000000000000000000000000000000095 -S315400075B00000000000000000000000000000000085 -S315400075C00000000000000000000000000000000075 -S315400075D00000000000000000000000000000000065 -S315400075E00000000000000000000000000000000055 -S315400075F00000000000000000000000000000000045 -S315400076000000000000000000000000000000000034 -S315400076100000000000000000000000000000000024 -S315400076200000000000000000000000000000000014 -S315400076300000000000000000000000000000000004 -S3154000764000000000000000000000000000000000F4 -S3154000765000000000000000000000000000000000E4 -S3154000766000000000000000000000000000000000D4 -S3154000767000000000000000000000000000000000C4 -S3154000768000000000000000000000000000000000B4 -S3154000769000000000000000000000000000000000A4 -S315400076A00000000000000000000000000000000094 -S315400076B00000000000000000000000000000000084 -S315400076C00000000000000000000000000000000074 -S315400076D00000000000000000000000000000000064 -S315400076E00000000000000000000000000000000054 -S315400076F00000000000000000000000000000000044 -S315400077000000000000000000000000000000000033 -S315400077100000000000000000000000000000000023 -S315400077200000000000000000000000000000000013 -S315400077300000000000000000000000000000000003 -S3154000774000000000000000000000000000000000F3 -S3154000775000000000000000000000000000000000E3 -S3154000776000000000000000000000000000000000D3 -S3154000777000000000000000000000000000000000C3 -S3154000778000000000000000000000000000000000B3 -S3154000779000000000000000000000000000000000A3 -S315400077A00000000000000000000000000000000093 -S315400077B00000000000000000000000000000000083 -S315400077C00000000000000000000000000000000073 -S315400077D00000000000000000000000000000000063 -S315400077E00000000000000000000000000000000053 -S315400077F00000000000000000000000000000000043 -S315400078000000000000000000000000000000000032 -S315400078100000000000000000000000000000000022 -S315400078200000000000000000000000000000000012 -S315400078300000000000000000000000000000000002 -S3154000784000000000000000000000000000000000F2 -S3154000785000000000000000000000000000000000E2 -S3154000786000000000000000000000000000000000D2 -S3154000787000000000000000000000000000000000C2 -S3154000788000000000000000000000000000000000B2 -S3154000789000000000000000000000000000000000A2 -S315400078A00000000000000000000000000000000092 -S315400078B00000000000000000000000000000000082 -S315400078C00000000000000000000000000000000072 -S315400078D00000000000000000000000000000000062 -S315400078E00000000000000000000000000000000052 -S315400078F00000000000000000000000000000000042 -S315400079000000000000000000000000000000000031 -S315400079100000000000000000000000000000000021 -S315400079200000000000000000000000000000000011 -S315400079300000000000000000000000000000000001 -S3154000794000000000000000000000000000000000F1 -S3154000795000000000000000000000000000000000E1 -S3154000796000000000000000000000000000000000D1 -S3154000797000000000000000000000000000000000C1 -S3154000798000000000000000000000000000000000B1 -S3154000799000000000000000000000000000000000A1 -S315400079A00000000000000000000000000000000091 -S315400079B00000000000000000000000000000000081 -S315400079C00000000000000000000000000000000071 -S315400079D00000000000000000000000000000000061 -S315400079E00000000000000000000000000000000051 -S315400079F00000000000000000000000000000000041 -S31540007A000000000000000000000000000000000030 -S31540007A100000000000000000000000000000000020 -S31540007A200000000000000000000000000000000010 -S31540007A300000000000000000000000000000000000 -S31540007A4000000000000000000000000000000000F0 -S31540007A5000000000000000000000000000000000E0 -S31540007A6000000000000000000000000000000000D0 -S31540007A7000000000000000000000000000000000C0 -S31540007A8000000000000000000000000000000000B0 -S31540007A9000000000000000000000000000000000A0 -S31540007AA00000000000000000000000000000000090 -S31540007AB00000000000000000000000000000000080 -S31540007AC00000000000000000000000000000000070 -S31540007AD00000000000000000000000000000000060 -S31540007AE00000000000000000000000000000000050 -S31540007AF00000000000000000000000000000000040 -S31540007B00000000000000000000000000000000002F -S31540007B10000000000000000000000000000000001F -S31540007B20000000000000000000000000000000000F -S31540007B3000000000000000000000000000000000FF -S31540007B4000000000000000000000000000000000EF -S31540007B5000000000000000000000000000000000DF -S31540007B6000000000000000000000000000000000CF -S31540007B7000000000000000000000000000000000BF -S31540007B8000000000000000000000000000000000AF -S31540007B90000000000000000000000000000000009F -S31540007BA0000000000000000000000000000000008F -S31540007BB0000000000000000000000000000000007F -S31540007BC0000000000000000000000000000000006F -S31540007BD0000000000000000000000000000000005F -S31540007BE0000000000000000000000000000000004F -S31540007BF0000000000000000000000000000000003F -S31540007C00000000000000000000000000000000002E -S31540007C10000000000000000000000000000000001E -S31540007C20000000000000000000000000000000000E -S31540007C3000000000000000000000000000000000FE -S31540007C4000000000000000000000000000000000EE -S31540007C5000000000000000000000000000000000DE -S31540007C6000000000000000000000000000000000CE -S31540007C7000000000000000000000000000000000BE -S31540007C8000000000000000000000000000000000AE -S31540007C90000000000000000000000000000000009E -S31540007CA0000000000000000000000000000000008E -S31540007CB0000000000000000000000000000000007E -S31540007CC0000000000000000000000000000000006E -S31540007CD0000000000000000000000000000000005E -S31540007CE0000000000000000000000000000000004E -S31540007CF0000000000000000000000000000000003E -S31540007D00000000000000000000000000000000002D -S31540007D10000000000000000000000000000000001D -S31540007D20000000000000000000000000000000000D -S31540007D3000000000000000000000000000000000FD -S31540007D4000000000000000000000000000000000ED -S31540007D5000000000000000000000000000000000DD -S31540007D6000000000000000000000000000000000CD -S31540007D7000000000000000000000000000000000BD -S31540007D8000000000000000000000000000000000AD -S31540007D90000000000000000000000000000000009D -S31540007DA0000000000000000000000000000000008D -S31540007DB0000000000000000000000000000000007D -S31540007DC0000000000000000000000000000000006D -S31540007DD0000000000000000000000000000000005D -S31540007DE0000000000000000000000000000000004D -S31540007DF0000000000000000000000000000000003D -S31540007E00000000000000000000000000000000002C -S31540007E10000000000000000000000000000000001C -S31540007E20000000000000000000000000000000000C -S31540007E3000000000000000000000000000000000FC -S31540007E4000000000000000000000000000000000EC -S31540007E5000000000000000000000000000000000DC -S31540007E6000000000000000000000000000000000CC -S31540007E7000000000000000000000000000000000BC -S31540007E8000000000000000000000000000000000AC -S31540007E90000000000000000000000000000000009C -S31540007EA0000000000000000000000000000000008C -S31540007EB0000000000000000000000000000000007C -S31540007EC0000000000000000000000000000000006C -S31540007ED0000000000000000000000000000000005C -S31540007EE0000000000000000000000000000000004C -S31540007EF0000000000000000000000000000000003C -S31540007F00000000000000000000000000000000002B -S31540007F10000000000000000000000000000000001B -S31540007F20000000000000000000000000000000000B -S31540007F3000000000000000000000000000000000FB -S31540007F4000000000000000000000000000000000EB -S31540007F5000000000000000000000000000000000DB -S31540007F6000000000000000000000000000000000CB -S31540007F7000000000000000000000000000000000BB -S31540007F8000000000000000000000000000000000AB -S31540007F90000000000000000000000000000000009B -S31540007FA0000000000000000000000000000000008B -S31540007FB0000000000000000000000000000000007B -S31540007FC0000000000000000000000000000000006B -S31540007FD0000000000000000000000000000000005B -S31540007FE0000000000000000000000000000000004B -S31540007FF0000000000000000000000000000000003B -S315400080009DE3BF9081C7E00881E8000003000010AF -S31540008010C48000408088800112BFFFFE010000003E -S31540008020EC6FBFF89DE3BF909DE3BF909DE3BF908B -S315400080309DE3BF909DE3BF909DE3BF9021044444E0 -S31540008040A014211123088888A2146222250CCCCCC6 -S31540008050A414A33327111111A614E044291555552C -S31540008060A81521552B199999AA1562662D1DDDDD96 -S31540008070AC15A3772F222222AE15E088011000000E -S31540008080E03FBFE0E43FBFE8E83FBFF0EC3FBFF86A -S315400080908210001E8220601CC0A041E08220600841 -S315400080A0C0A041E082206008C0A041E08220600874 -S315400080B0C0A041E0C0A002209DE3BF909DE3BF90D9 -S315400080C081E8000081E80000010000000100000096 -S315400080D0E01FBFE0E41FBFE8E81FBFF0EC1FBFF89A -S315400080E0EC6FBFF8EC7FBFF8010000000100000014 -S315400080F081E8000081E8000081E8000081E8000096 -S3154000810081E8000081C7E00881E80000A750000030 -S31540008110AA102400A8102300EC854320EA850320FA -S315400081202F100020AE15E160EE05C000E805C00046 -S31540008130EA05E004EC05E008AC15A000C0A5830004 -S31540008140EA250000AE05E00C2B100020AA15616060 -S31540008150EE25400081C4400081CC80000100000033 -S3154000816000000000010000000000000000000000C8 -S3154000817000000000000000000000000000000000B9 -S3154000818000000000000000000000000000000000A9 -S315400081900000000000000000000000000000000099 -S315400081A00000000001000000010000000100000086 -S315400081B00100000001000000010000000100000075 -S315400081C081C3E008D0A003200100000001000000A8 -S315400081D00100000001000000010000000100000055 -S315400081E09DE3BF90FC2780009007A0019410001EDD -S315400081F0D1E7816AD1E7816A9402A0019002200109 -S31540008200D1E7816AD1E7816AD60780009622C01EEF -S31540008210B0A2E00202800004900020017FFFE3F854 -S315400082200100000081C7E00881E80000010000006D -S3154000823001000000010000000100000001000000F4 -S3154000824001000000010000000100000001000000E4 -S3154000825001000000010000000100000001000000D4 -S3154000826001000000010000000100000001000000C4 -S3154000827001000000010000000100000001000000B4 -S3154000828001000000010000000100000001000000A4 -S315400082900100000001000000010000000100000094 -S315400082A00100000001000000010000000100000084 -S315400082B00100000001000000010000000100000074 -S315400082C00100000001000000010000000100000064 -S315400082D00100000001000000010000000100000054 -S315400082E00100000001000000010000000100000044 -S315400082F00100000001000000010000000100000034 -S315400083000100000001000000010000000100000023 -S315400083100100000001000000010000000100000013 -S315400083200100000001000000010000000100000003 -S3154000833001000000010000000100000001000000F3 -S3154000834001000000010000000100000001000000E3 -S3154000835001000000010000000100000001000000D3 -S3154000836001000000010000000100000001000000C3 -S3154000837001000000010000000100000001000000B3 -S3154000838001000000010000000100000001000000A3 -S315400083900100000001000000010000000100000093 -S315400083A00100000001000000010000000100000083 -S315400083B00100000001000000010000000100000073 -S315400083C00100000001000000010000000100000063 -S315400083D00100000001000000010000000100000053 -S315400083E00100000001000000010000000100000043 -S315400083F00100000001000000010000000100000033 -S315400084000100000001000000010000000100000022 -S315400084100100000001000000010000000100000012 -S315400084200100000001000000010000000100000002 -S3154000843001000000010000000100000001000000F2 -S3154000844001000000010000000100000001000000E2 -S3154000845001000000010000000100000001000000D2 -S3154000846001000000010000000100000001000000C2 -S3154000847001000000010000000100000001000000B2 -S3154000848001000000010000000100000001000000A2 -S315400084900100000001000000010000000100000092 -S315400084A00100000001000000010000000100000082 -S315400084B00100000001000000010000000100000072 -S315400084C00100000001000000010000000100000062 -S315400084D00100000001000000010000000100000052 -S315400084E00100000001000000010000000100000042 -S315400084F00100000001000000010000000100000032 -S315400085000100000001000000010000000100000021 -S315400085100100000001000000010000000100000011 -S315400085200100000001000000010000000100000001 -S3154000853001000000010000000100000001000000F1 -S3154000854001000000010000000100000001000000E1 -S3154000855001000000010000000100000001000000D1 -S3154000856001000000010000000100000001000000C1 -S3154000857001000000010000000100000001000000B1 -S3154000858001000000010000000100000001000000A1 -S315400085900100000001000000010000000100000091 -S315400085A00100000001000000010000000100000081 -S315400085B00100000001000000010000000100000071 -S315400085C00100000001000000010000000100000061 -S315400085D00100000001000000010000000100000051 -S315400085E00100000001000000010000000100000041 -S315400085F00100000001000000010000000100000031 -S315400086000100000001000000010000000100000020 -S315400086100100000001000000010000000100000010 -S315400086200100000001000000010000000100000000 -S3154000863001000000010000000100000001000000F0 -S3154000864001000000010000000100000001000000E0 -S3154000865001000000010000000100000001000000D0 -S3154000866001000000010000000100000001000000C0 -S3154000867001000000010000000100000001000000B0 -S3154000868001000000010000000100000001000000A0 -S315400086900100000001000000010000000100000090 -S315400086A00100000001000000010000000100000080 -S315400086B00100000001000000010000000100000070 -S315400086C00100000001000000010000000100000060 -S315400086D00100000001000000010000000100000050 -S315400086E00100000001000000010000000100000040 -S315400086F00100000001000000010000000100000030 -S31540008700010000000100000001000000010000001F -S31540008710010000000100000001000000010000000F -S3154000872001000000010000000100000001000000FF -S3154000873001000000010000000100000001000000EF -S3154000874001000000010000000100000001000000DF -S3154000875001000000010000000100000001000000CF -S3154000876001000000010000000100000001000000BF -S3154000877001000000010000000100000001000000AF -S31540008780010000000100000001000000010000009F -S31540008790010000000100000001000000010000008F -S315400087A0010000000100000001000000010000007F -S315400087B0010000000100000001000000010000006F -S315400087C0010000000100000001000000010000005F -S315400087D0010000000100000001000000010000004F -S315400087E0010000000100000001000000010000003F -S315400087F0010000000100000001000000010000002F -S31540008800010000000100000001000000010000001E -S31540008810010000000100000001000000010000000E -S3154000882001000000010000000100000001000000FE -S3154000883001000000010000000100000001000000EE -S3154000884001000000010000000100000001000000DE -S3154000885001000000010000000100000001000000CE -S3154000886001000000010000000100000001000000BE -S3154000887001000000010000000100000001000000AE -S31540008880010000000100000001000000010000009E -S31540008890010000000100000001000000010000008E -S315400088A0010000000100000001000000010000007E -S315400088B0010000000100000001000000010000006E -S315400088C0010000000100000001000000010000005E -S315400088D0010000000100000001000000010000004E -S315400088E0010000000100000001000000010000003E -S315400088F0010000000100000001000000010000002E -S31540008900010000000100000001000000010000001D -S31540008910010000000100000001000000010000000D -S3154000892001000000010000000100000001000000FD -S3154000893001000000010000000100000001000000ED -S3154000894001000000010000000100000001000000DD -S3154000895001000000010000000100000001000000CD -S3154000896001000000010000000100000001000000BD -S3154000897001000000010000000100000001000000AD -S31540008980010000000100000001000000010000009D -S31540008990010000000100000001000000010000008D -S315400089A0010000000100000001000000010000007D -S315400089B0010000000100000001000000010000006D -S315400089C0010000000100000001000000010000005D -S315400089D0010000000100000001000000010000004D -S315400089E0010000000100000001000000010000003D -S315400089F0010000000100000001000000010000002D -S31540008A00010000000100000001000000010000001C -S31540008A10010000000100000001000000010000000C -S31540008A2001000000010000000100000001000000FC -S31540008A3001000000010000000100000001000000EC -S31540008A4001000000010000000100000001000000DC -S31540008A5001000000010000000100000001000000CC -S31540008A6001000000010000000100000001000000BC -S31540008A7001000000010000000100000001000000AC -S31540008A80010000000100000001000000010000009C -S31540008A90010000000100000001000000010000008C -S31540008AA0010000000100000001000000010000007C -S31540008AB0010000000100000001000000010000006C -S31540008AC0010000000100000001000000010000005C -S31540008AD0010000000100000001000000010000004C -S31540008AE0010000000100000001000000010000003C -S31540008AF0010000000100000001000000010000002C -S31540008B00010000000100000001000000010000001B -S31540008B10010000000100000001000000010000000B -S31540008B2001000000010000000100000001000000FB -S31540008B3001000000010000000100000001000000EB -S31540008B4001000000010000000100000001000000DB -S31540008B5001000000010000000100000001000000CB -S31540008B6001000000010000000100000001000000BB -S31540008B7001000000010000000100000001000000AB -S31540008B80010000000100000001000000010000009B -S31540008B90010000000100000001000000010000008B -S31540008BA0010000000100000001000000010000007B -S31540008BB0010000000100000001000000010000006B -S31540008BC0010000000100000001000000010000005B -S31540008BD0010000000100000001000000010000004B -S31540008BE0010000000100000001000000010000003B -S31540008BF0010000000100000001000000010000002B -S31540008C00010000000100000001000000010000001A -S31540008C10010000000100000001000000010000000A -S31540008C2001000000010000000100000001000000FA -S31540008C3001000000010000000100000001000000EA -S31540008C4001000000010000000100000001000000DA -S31540008C5001000000010000000100000001000000CA -S31540008C6001000000010000000100000001000000BA -S31540008C7001000000010000000100000001000000AA -S31540008C80010000000100000001000000010000009A -S31540008C90010000000100000001000000010000008A -S31540008CA0010000000100000001000000010000007A -S31540008CB0010000000100000001000000010000006A -S31540008CC0010000000100000001000000010000005A -S31540008CD0010000000100000001000000010000004A -S31540008CE0010000000100000001000000010000003A -S31540008CF0010000000100000001000000010000002A -S31540008D000100000001000000010000000100000019 -S31540008D100100000001000000010000000100000009 -S31540008D2001000000010000000100000001000000F9 -S31540008D3001000000010000000100000001000000E9 -S31540008D4001000000010000000100000001000000D9 -S31540008D5001000000010000000100000001000000C9 -S31540008D6001000000010000000100000001000000B9 -S31540008D7001000000010000000100000001000000A9 -S31540008D800100000001000000010000000100000099 -S31540008D900100000001000000010000000100000089 -S31540008DA00100000001000000010000000100000079 -S31540008DB00100000001000000010000000100000069 -S31540008DC00100000001000000010000000100000059 -S31540008DD00100000001000000010000000100000049 -S31540008DE00100000001000000010000000100000039 -S31540008DF00100000001000000010000000100000029 -S31540008E000100000001000000010000000100000018 -S31540008E100100000001000000010000000100000008 -S31540008E2001000000010000000100000001000000F8 -S31540008E3001000000010000000100000001000000E8 -S31540008E4001000000010000000100000001000000D8 -S31540008E5001000000010000000100000001000000C8 -S31540008E6001000000010000000100000001000000B8 -S31540008E7001000000010000000100000001000000A8 -S31540008E800100000001000000010000000100000098 -S31540008E900100000001000000010000000100000088 -S31540008EA00100000001000000010000000100000078 -S31540008EB00100000001000000010000000100000068 -S31540008EC00100000001000000010000000100000058 -S31540008ED00100000001000000010000000100000048 -S31540008EE00100000001000000010000000100000038 -S31540008EF00100000001000000010000000100000028 -S31540008F000100000001000000010000000100000017 -S31540008F100100000001000000010000000100000007 -S31540008F2001000000010000000100000001000000F7 -S31540008F3001000000010000000100000001000000E7 -S31540008F4001000000010000000100000001000000D7 -S31540008F5001000000010000000100000001000000C7 -S31540008F6001000000010000000100000001000000B7 -S31540008F7001000000010000000100000001000000A7 -S31540008F800100000001000000010000000100000097 -S31540008F900100000001000000010000000100000087 -S31540008FA00100000001000000010000000100000077 -S31540008FB00100000001000000010000000100000067 -S31540008FC00100000001000000010000000100000057 -S31540008FD00100000001000000010000000100000047 -S31540008FE00100000001000000010000000100000037 -S31540008FF00100000001000000010000000100000027 -S315400090000100000001000000010000000100000016 -S315400090100100000001000000010000000100000006 -S3154000902001000000010000000100000001000000F6 -S3154000903001000000010000000100000001000000E6 -S3154000904001000000010000000100000001000000D6 -S3154000905001000000010000000100000001000000C6 -S3154000906001000000010000000100000001000000B6 -S3154000907001000000010000000100000001000000A6 -S315400090800100000001000000010000000100000096 -S315400090900100000001000000010000000100000086 -S315400090A00100000001000000010000000100000076 -S315400090B00100000001000000010000000100000066 -S315400090C00100000001000000010000000100000056 -S315400090D00100000001000000010000000100000046 -S315400090E00100000001000000010000000100000036 -S315400090F00100000001000000010000000100000026 -S315400091000100000001000000010000000100000015 -S315400091100100000001000000010000000100000005 -S3154000912001000000010000000100000001000000F5 -S3154000913001000000010000000100000001000000E5 -S3154000914001000000010000000100000001000000D5 -S3154000915001000000010000000100000001000000C5 -S3154000916001000000010000000100000001000000B5 -S3154000917001000000010000000100000001000000A5 -S315400091800100000001000000010000000100000095 -S315400091900100000001000000010000000100000085 -S315400091A00100000001000000010000000100000075 -S315400091B00100000001000000010000000100000065 -S315400091C00100000001000000010000000100000055 -S315400091D00100000001000000010000000100000045 -S315400091E00100000001000000010000000100000035 -S315400091F00100000001000000010000000100000025 -S315400092000100000001000000010000000100000014 -S315400092100100000001000000010000000100000004 -S3154000922001000000010000000100000001000000F4 -S3154000923001000000010000000100000001000000E4 -S3154000924001000000010000000100000001000000D4 -S3154000925001000000010000000100000001000000C4 -S3154000926001000000010000000100000001000000B4 -S3154000927001000000010000000100000001000000A4 -S315400092800100000001000000010000000100000094 -S315400092900100000001000000010000000100000084 -S315400092A00100000001000000010000000100000074 -S315400092B00100000001000000010000000100000064 -S315400092C00100000001000000010000000100000054 -S315400092D00100000001000000010000000100000044 -S315400092E00100000001000000010000000100000034 -S315400092F00100000001000000010000000100000024 -S315400093000100000001000000010000000100000013 -S315400093100100000001000000010000000100000003 -S3154000932001000000010000000100000001000000F3 -S3154000933001000000010000000100000001000000E3 -S3154000934001000000010000000100000001000000D3 -S3154000935001000000010000000100000001000000C3 -S3154000936001000000010000000100000001000000B3 -S3154000937001000000010000000100000001000000A3 -S315400093800100000001000000010000000100000093 -S315400093900100000001000000010000000100000083 -S315400093A00100000001000000010000000100000073 -S315400093B00100000001000000010000000100000063 -S315400093C00100000001000000010000000100000053 -S315400093D00100000001000000010000000100000043 -S315400093E00100000001000000010000000100000033 -S315400093F00100000001000000010000000100000023 -S315400094000100000001000000010000000100000012 -S315400094100100000001000000010000000100000002 -S3154000942001000000010000000100000001000000F2 -S3154000943001000000010000000100000001000000E2 -S3154000944001000000010000000100000001000000D2 -S3154000945001000000010000000100000001000000C2 -S3154000946001000000010000000100000001000000B2 -S3154000947001000000010000000100000001000000A2 -S315400094800100000001000000010000000100000092 -S315400094900100000001000000010000000100000082 -S315400094A00100000001000000010000000100000072 -S315400094B00100000001000000010000000100000062 -S315400094C00100000001000000010000000100000052 -S315400094D00100000001000000010000000100000042 -S315400094E00100000001000000010000000100000032 -S315400094F00100000001000000010000000100000022 -S315400095000100000001000000010000000100000011 -S315400095100100000001000000010000000100000001 -S3154000952001000000010000000100000001000000F1 -S3154000953001000000010000000100000001000000E1 -S3154000954001000000010000000100000001000000D1 -S3154000955001000000010000000100000001000000C1 -S3154000956001000000010000000100000001000000B1 -S3154000957001000000010000000100000001000000A1 -S315400095800100000001000000010000000100000091 -S315400095900100000001000000010000000100000081 -S315400095A00100000001000000010000000100000071 -S315400095B00100000001000000010000000100000061 -S315400095C00100000001000000010000000100000051 -S315400095D00100000001000000010000000100000041 -S315400095E00100000001000000010000000100000031 -S315400095F00100000001000000010000000100000021 -S315400096000100000001000000010000000100000010 -S315400096100100000001000000010000000100000000 -S3154000962001000000010000000100000001000000F0 -S3154000963001000000010000000100000001000000E0 -S3154000964001000000010000000100000001000000D0 -S3154000965001000000010000000100000001000000C0 -S3154000966001000000010000000100000001000000B0 -S3154000967001000000010000000100000001000000A0 -S315400096800100000001000000010000000100000090 -S315400096900100000001000000010000000100000080 -S315400096A00100000001000000010000000100000070 -S315400096B00100000001000000010000000100000060 -S315400096C00100000001000000010000000100000050 -S315400096D00100000001000000010000000100000040 -S315400096E00100000001000000010000000100000030 -S315400096F00100000001000000010000000100000020 -S31540009700010000000100000001000000010000000F -S3154000971001000000010000000100000001000000FF -S3154000972001000000010000000100000001000000EF -S3154000973001000000010000000100000001000000DF -S3154000974001000000010000000100000001000000CF -S3154000975001000000010000000100000001000000BF -S3154000976001000000010000000100000001000000AF -S31540009770010000000100000001000000010000009F -S31540009780010000000100000001000000010000008F -S31540009790010000000100000001000000010000007F -S315400097A0010000000100000001000000010000006F -S315400097B0010000000100000001000000010000005F -S315400097C0010000000100000001000000010000004F -S315400097D0010000000100000001000000010000003F -S315400097E0010000000100000001000000010000002F -S315400097F0010000000100000001000000010000001F -S31540009800010000000100000001000000010000000E -S3154000981001000000010000000100000001000000FE -S3154000982001000000010000000100000001000000EE -S3154000983001000000010000000100000001000000DE -S3154000984001000000010000000100000001000000CE -S3154000985001000000010000000100000001000000BE -S3154000986001000000010000000100000001000000AE -S31540009870010000000100000001000000010000009E -S31540009880010000000100000001000000010000008E -S31540009890010000000100000001000000010000007E -S315400098A0010000000100000001000000010000006E -S315400098B0010000000100000001000000010000005E -S315400098C0010000000100000001000000010000004E -S315400098D0010000000100000001000000010000003E -S315400098E0010000000100000001000000010000002E -S315400098F0010000000100000001000000010000001E -S31540009900010000000100000001000000010000000D -S3154000991001000000010000000100000001000000FD -S3154000992001000000010000000100000001000000ED -S3154000993001000000010000000100000001000000DD -S3154000994001000000010000000100000001000000CD -S3154000995001000000010000000100000001000000BD -S3154000996001000000010000000100000001000000AD -S31540009970010000000100000001000000010000009D -S31540009980010000000100000001000000010000008D -S31540009990010000000100000001000000010000007D -S315400099A0010000000100000001000000010000006D -S315400099B0010000000100000001000000010000005D -S315400099C0010000000100000001000000010000004D -S315400099D0010000000100000001000000010000003D -S315400099E0010000000100000001000000010000002D -S315400099F0010000000100000001000000010000001D -S31540009A00010000000100000001000000010000000C -S31540009A1001000000010000000100000001000000FC -S31540009A2001000000010000000100000001000000EC -S31540009A3001000000010000000100000001000000DC -S31540009A4001000000010000000100000001000000CC -S31540009A5001000000010000000100000001000000BC -S31540009A6001000000010000000100000001000000AC -S31540009A70010000000100000001000000010000009C -S31540009A80010000000100000001000000010000008C -S31540009A90010000000100000001000000010000007C -S31540009AA0010000000100000001000000010000006C -S31540009AB0010000000100000001000000010000005C -S31540009AC0010000000100000001000000010000004C -S31540009AD0010000000100000001000000010000003C -S31540009AE0010000000100000001000000010000002C -S31540009AF0010000000100000001000000010000001C -S31540009B00010000000100000001000000010000000B -S31540009B1001000000010000000100000001000000FB -S31540009B2001000000010000000100000001000000EB -S31540009B3001000000010000000100000001000000DB -S31540009B4001000000010000000100000001000000CB -S31540009B5001000000010000000100000001000000BB -S31540009B6001000000010000000100000001000000AB -S31540009B70010000000100000001000000010000009B -S31540009B80010000000100000001000000010000008B -S31540009B90010000000100000001000000010000007B -S31540009BA0010000000100000001000000010000006B -S31540009BB0010000000100000001000000010000005B -S31540009BC0010000000100000001000000010000004B -S31540009BD0010000000100000001000000010000003B -S31540009BE0010000000100000001000000010000002B -S31540009BF0010000000100000001000000010000001B -S31540009C00010000000100000001000000010000000A -S31540009C1001000000010000000100000001000000FA -S31540009C2001000000010000000100000001000000EA -S31540009C3001000000010000000100000001000000DA -S31540009C4001000000010000000100000001000000CA -S31540009C5001000000010000000100000001000000BA -S31540009C6001000000010000000100000001000000AA -S31540009C70010000000100000001000000010000009A -S31540009C80010000000100000001000000010000008A -S31540009C90010000000100000001000000010000007A -S31540009CA0010000000100000001000000010000006A -S31540009CB0010000000100000001000000010000005A -S31540009CC0010000000100000001000000010000004A -S31540009CD0010000000100000001000000010000003A -S31540009CE0010000000100000001000000010000002A -S31540009CF0010000000100000001000000010000001A -S31540009D000100000001000000010000000100000009 -S31540009D1001000000010000000100000001000000F9 -S31540009D2001000000010000000100000001000000E9 -S31540009D3001000000010000000100000001000000D9 -S31540009D4001000000010000000100000001000000C9 -S31540009D5001000000010000000100000001000000B9 -S31540009D6001000000010000000100000001000000A9 -S31540009D700100000001000000010000000100000099 -S31540009D800100000001000000010000000100000089 -S31540009D900100000001000000010000000100000079 -S31540009DA00100000001000000010000000100000069 -S31540009DB00100000001000000010000000100000059 -S31540009DC00100000001000000010000000100000049 -S31540009DD00100000001000000010000000100000039 -S31540009DE00100000001000000010000000100000029 -S31540009DF00100000001000000010000000100000019 -S31540009E000100000001000000010000000100000008 -S31540009E1001000000010000000100000001000000F8 -S31540009E2001000000010000000100000001000000E8 -S31540009E3001000000010000000100000001000000D8 -S31540009E4001000000010000000100000001000000C8 -S31540009E5001000000010000000100000001000000B8 -S31540009E6001000000010000000100000001000000A8 -S31540009E700100000001000000010000000100000098 -S31540009E800100000001000000010000000100000088 -S31540009E900100000001000000010000000100000078 -S31540009EA00100000001000000010000000100000068 -S31540009EB00100000001000000010000000100000058 -S31540009EC00100000001000000010000000100000048 -S31540009ED00100000001000000010000000100000038 -S31540009EE00100000001000000010000000100000028 -S31540009EF00100000001000000010000000100000018 -S31540009F000100000001000000010000000100000007 -S31540009F1001000000010000000100000001000000F7 -S31540009F2001000000010000000100000001000000E7 -S31540009F3001000000010000000100000001000000D7 -S31540009F4001000000010000000100000001000000C7 -S31540009F5001000000010000000100000001000000B7 -S31540009F6001000000010000000100000001000000A7 -S31540009F700100000001000000010000000100000097 -S31540009F800100000001000000010000000100000087 -S31540009F900100000001000000010000000100000077 -S31540009FA00100000001000000010000000100000067 -S31540009FB00100000001000000010000000100000057 -S31540009FC00100000001000000010000000100000047 -S31540009FD00100000001000000010000000100000037 -S31540009FE00100000001000000010000000100000027 -S31540009FF00100000001000000010000000100000017 -S3154000A0000100000001000000010000000100000006 -S3154000A01001000000010000000100000001000000F6 -S3154000A02001000000010000000100000001000000E6 -S3154000A03001000000010000000100000001000000D6 -S3154000A04001000000010000000100000001000000C6 -S3154000A05001000000010000000100000001000000B6 -S3154000A06001000000010000000100000001000000A6 -S3154000A0700100000001000000010000000100000096 -S3154000A0800100000001000000010000000100000086 -S3154000A0900100000001000000010000000100000076 -S3154000A0A00100000001000000010000000100000066 -S3154000A0B00100000001000000010000000100000056 -S3154000A0C00100000001000000010000000100000046 -S3154000A0D00100000001000000010000000100000036 -S3154000A0E00100000001000000010000000100000026 -S3154000A0F00100000001000000010000000100000016 -S3154000A1000100000001000000010000000100000005 -S3154000A11001000000010000000100000001000000F5 -S3154000A12001000000010000000100000001000000E5 -S3154000A13001000000010000000100000001000000D5 -S3154000A14001000000010000000100000001000000C5 -S3154000A15001000000010000000100000001000000B5 -S3154000A16001000000010000000100000001000000A5 -S3154000A1700100000001000000010000000100000095 -S3154000A1800100000001000000010000000100000085 -S3154000A1900100000001000000010000000100000075 -S3154000A1A00100000001000000010000000100000065 -S3154000A1B00100000001000000010000000100000055 -S3154000A1C00100000001000000010000000100000045 -S3154000A1D00100000001000000010000000100000035 -S3154000A1E00100000001000000010000000100000025 -S3154000A1F00100000001000000010000000100000015 -S3154000A2000100000001000000010000000100000004 -S3154000A21001000000010000000100000001000000F4 -S3154000A22001000000010000000100000001000000E4 -S3154000A23001000000010000000100000001000000D4 -S3154000A24001000000010000000100000001000000C4 -S3154000A25001000000010000000100000001000000B4 -S3154000A26001000000010000000100000001000000A4 -S3154000A2700100000001000000010000000100000094 -S3154000A2800100000001000000010000000100000084 -S3154000A2900100000001000000010000000100000074 -S3154000A2A00100000001000000010000000100000064 -S3154000A2B00100000001000000010000000100000054 -S3154000A2C00100000001000000010000000100000044 -S3154000A2D00100000001000000010000000100000034 -S3154000A2E00100000001000000010000000100000024 -S3154000A2F00100000001000000010000000100000014 -S3154000A3000100000001000000010000000100000003 -S3154000A31001000000010000000100000001000000F3 -S3154000A32001000000010000000100000001000000E3 -S3154000A33001000000010000000100000001000000D3 -S3154000A34001000000010000000100000001000000C3 -S3154000A35001000000010000000100000001000000B3 -S3154000A36001000000010000000100000001000000A3 -S3154000A3700100000001000000010000000100000093 -S3154000A3800100000001000000010000000100000083 -S3154000A3900100000001000000010000000100000073 -S3154000A3A00100000001000000010000000100000063 -S3154000A3B00100000001000000010000000100000053 -S3154000A3C00100000001000000010000000100000043 -S3154000A3D00100000001000000010000000100000033 -S3154000A3E00100000001000000010000000100000023 -S3154000A3F00100000001000000010000000100000013 -S3154000A4000100000001000000010000000100000002 -S3154000A41001000000010000000100000001000000F2 -S3154000A42001000000010000000100000001000000E2 -S3154000A43001000000010000000100000001000000D2 -S3154000A44001000000010000000100000001000000C2 -S3154000A45001000000010000000100000001000000B2 -S3154000A46001000000010000000100000001000000A2 -S3154000A4700100000001000000010000000100000092 -S3154000A4800100000001000000010000000100000082 -S3154000A4900100000001000000010000000100000072 -S3154000A4A00100000001000000010000000100000062 -S3154000A4B00100000001000000010000000100000052 -S3154000A4C00100000001000000010000000100000042 -S3154000A4D00100000001000000010000000100000032 -S3154000A4E00100000001000000010000000100000022 -S3154000A4F00100000001000000010000000100000012 -S3154000A5000100000001000000010000000100000001 -S3154000A51001000000010000000100000001000000F1 -S3154000A52001000000010000000100000001000000E1 -S3154000A53001000000010000000100000001000000D1 -S3154000A54001000000010000000100000001000000C1 -S3154000A55001000000010000000100000001000000B1 -S3154000A56001000000010000000100000001000000A1 -S3154000A5700100000001000000010000000100000091 -S3154000A5800100000001000000010000000100000081 -S3154000A5900100000001000000010000000100000071 -S3154000A5A00100000001000000010000000100000061 -S3154000A5B00100000001000000010000000100000051 -S3154000A5C00100000001000000010000000100000041 -S3154000A5D00100000001000000010000000100000031 -S3154000A5E00100000001000000010000000100000021 -S3154000A5F00100000001000000010000000100000011 -S3154000A6000100000001000000010000000100000000 -S3154000A61001000000010000000100000001000000F0 -S3154000A62001000000010000000100000001000000E0 -S3154000A63001000000010000000100000001000000D0 -S3154000A64001000000010000000100000001000000C0 -S3154000A65001000000010000000100000001000000B0 -S3154000A66001000000010000000100000001000000A0 -S3154000A6700100000001000000010000000100000090 -S3154000A6800100000001000000010000000100000080 -S3154000A6900100000001000000010000000100000070 -S3154000A6A00100000001000000010000000100000060 -S3154000A6B00100000001000000010000000100000050 -S3154000A6C00100000001000000010000000100000040 -S3154000A6D00100000001000000010000000100000030 -S3154000A6E00100000001000000010000000100000020 -S3154000A6F00100000001000000010000000100000010 -S3154000A70001000000010000000100000001000000FF -S3154000A71001000000010000000100000001000000EF -S3154000A72001000000010000000100000001000000DF -S3154000A73001000000010000000100000001000000CF -S3154000A74001000000010000000100000001000000BF -S3154000A75001000000010000000100000001000000AF -S3154000A760010000000100000001000000010000009F -S3154000A770010000000100000001000000010000008F -S3154000A780010000000100000001000000010000007F -S3154000A790010000000100000001000000010000006F -S3154000A7A0010000000100000001000000010000005F -S3154000A7B0010000000100000001000000010000004F -S3154000A7C0010000000100000001000000010000003F -S3154000A7D0010000000100000001000000010000002F -S3154000A7E0010000000100000001000000010000001F -S3154000A7F0010000000100000001000000010000000F -S3154000A80001000000010000000100000001000000FE -S3154000A81001000000010000000100000001000000EE -S3154000A82001000000010000000100000001000000DE -S3154000A83001000000010000000100000001000000CE -S3154000A84001000000010000000100000001000000BE -S3154000A85001000000010000000100000001000000AE -S3154000A860010000000100000001000000010000009E -S3154000A870010000000100000001000000010000008E -S3154000A880010000000100000001000000010000007E -S3154000A890010000000100000001000000010000006E -S3154000A8A0010000000100000001000000010000005E -S3154000A8B0010000000100000001000000010000004E -S3154000A8C0010000000100000001000000010000003E -S3154000A8D0010000000100000001000000010000002E -S3154000A8E0010000000100000001000000010000001E -S3154000A8F0010000000100000001000000010000000E -S3154000A90001000000010000000100000001000000FD -S3154000A91001000000010000000100000001000000ED -S3154000A92001000000010000000100000001000000DD -S3154000A93001000000010000000100000001000000CD -S3154000A94001000000010000000100000001000000BD -S3154000A95001000000010000000100000001000000AD -S3154000A960010000000100000001000000010000009D -S3154000A970010000000100000001000000010000008D -S3154000A980010000000100000001000000010000007D -S3154000A990010000000100000001000000010000006D -S3154000A9A0010000000100000001000000010000005D -S3154000A9B0010000000100000001000000010000004D -S3154000A9C0010000000100000001000000010000003D -S3154000A9D0010000000100000001000000010000002D -S3154000A9E0010000000100000001000000010000001D -S3154000A9F0010000000100000001000000010000000D -S3154000AA0001000000010000000100000001000000FC -S3154000AA1001000000010000000100000001000000EC -S3154000AA2001000000010000000100000001000000DC -S3154000AA3001000000010000000100000001000000CC -S3154000AA4001000000010000000100000001000000BC -S3154000AA5001000000010000000100000001000000AC -S3154000AA60010000000100000001000000010000009C -S3154000AA70010000000100000001000000010000008C -S3154000AA80010000000100000001000000010000007C -S3154000AA90010000000100000001000000010000006C -S3154000AAA0010000000100000001000000010000005C -S3154000AAB0010000000100000001000000010000004C -S3154000AAC0010000000100000001000000010000003C -S3154000AAD0010000000100000001000000010000002C -S3154000AAE0010000000100000001000000010000001C -S3154000AAF0010000000100000001000000010000000C -S3154000AB0001000000010000000100000001000000FB -S3154000AB1001000000010000000100000001000000EB -S3154000AB2001000000010000000100000001000000DB -S3154000AB3001000000010000000100000001000000CB -S3154000AB4001000000010000000100000001000000BB -S3154000AB5001000000010000000100000001000000AB -S3154000AB60010000000100000001000000010000009B -S3154000AB70010000000100000001000000010000008B -S3154000AB80010000000100000001000000010000007B -S3154000AB90010000000100000001000000010000006B -S3154000ABA0010000000100000001000000010000005B -S3154000ABB0010000000100000001000000010000004B -S3154000ABC0010000000100000001000000010000003B -S3154000ABD0010000000100000001000000010000002B -S3154000ABE0010000000100000001000000010000001B -S3154000ABF0010000000100000001000000010000000B -S3154000AC0001000000010000000100000001000000FA -S3154000AC1001000000010000000100000001000000EA -S3154000AC2001000000010000000100000001000000DA -S3154000AC3001000000010000000100000001000000CA -S3154000AC4001000000010000000100000001000000BA -S3154000AC5001000000010000000100000001000000AA -S3154000AC60010000000100000001000000010000009A -S3154000AC70010000000100000001000000010000008A -S3154000AC80010000000100000001000000010000007A -S3154000AC90010000000100000001000000010000006A -S3154000ACA0010000000100000001000000010000005A -S3154000ACB0010000000100000001000000010000004A -S3154000ACC0010000000100000001000000010000003A -S3154000ACD0010000000100000001000000010000002A -S3154000ACE0010000000100000001000000010000001A -S3154000ACF0010000000100000001000000010000000A -S3154000AD0001000000010000000100000001000000F9 -S3154000AD1001000000010000000100000001000000E9 -S3154000AD2001000000010000000100000001000000D9 -S3154000AD3001000000010000000100000001000000C9 -S3154000AD4001000000010000000100000001000000B9 -S3154000AD5001000000010000000100000001000000A9 -S3154000AD600100000001000000010000000100000099 -S3154000AD700100000001000000010000000100000089 -S3154000AD800100000001000000010000000100000079 -S3154000AD900100000001000000010000000100000069 -S3154000ADA00100000001000000010000000100000059 -S3154000ADB00100000001000000010000000100000049 -S3154000ADC00100000001000000010000000100000039 -S3154000ADD00100000001000000010000000100000029 -S3154000ADE00100000001000000010000000100000019 -S3154000ADF00100000001000000010000000100000009 -S3154000AE0001000000010000000100000001000000F8 -S3154000AE1001000000010000000100000001000000E8 -S3154000AE2001000000010000000100000001000000D8 -S3154000AE3001000000010000000100000001000000C8 -S3154000AE4001000000010000000100000001000000B8 -S3154000AE5001000000010000000100000001000000A8 -S3154000AE600100000001000000010000000100000098 -S3154000AE700100000001000000010000000100000088 -S3154000AE800100000001000000010000000100000078 -S3154000AE900100000001000000010000000100000068 -S3154000AEA00100000001000000010000000100000058 -S3154000AEB00100000001000000010000000100000048 -S3154000AEC00100000001000000010000000100000038 -S3154000AED00100000001000000010000000100000028 -S3154000AEE00100000001000000010000000100000018 -S3154000AEF00100000001000000010000000100000008 -S3154000AF0001000000010000000100000001000000F7 -S3154000AF1001000000010000000100000001000000E7 -S3154000AF2001000000010000000100000001000000D7 -S3154000AF3001000000010000000100000001000000C7 -S3154000AF4001000000010000000100000001000000B7 -S3154000AF5001000000010000000100000001000000A7 -S3154000AF600100000001000000010000000100000097 -S3154000AF700100000001000000010000000100000087 -S3154000AF800100000001000000010000000100000077 -S3154000AF900100000001000000010000000100000067 -S3154000AFA00100000001000000010000000100000057 -S3154000AFB00100000001000000010000000100000047 -S3154000AFC00100000001000000010000000100000037 -S3154000AFD00100000001000000010000000100000027 -S3154000AFE00100000001000000010000000100000017 -S3154000AFF00100000001000000010000000100000007 -S3154000B00001000000010000000100000001000000F6 -S3154000B01001000000010000000100000001000000E6 -S3154000B02001000000010000000100000001000000D6 -S3154000B03001000000010000000100000001000000C6 -S3154000B04001000000010000000100000001000000B6 -S3154000B05001000000010000000100000001000000A6 -S3154000B0600100000001000000010000000100000096 -S3154000B0700100000001000000010000000100000086 -S3154000B0800100000001000000010000000100000076 -S3154000B0900100000001000000010000000100000066 -S3154000B0A00100000001000000010000000100000056 -S3154000B0B00100000001000000010000000100000046 -S3154000B0C00100000001000000010000000100000036 -S3154000B0D00100000001000000010000000100000026 -S3154000B0E00100000001000000010000000100000016 -S3154000B0F00100000001000000010000000100000006 -S3154000B10001000000010000000100000001000000F5 -S3154000B11001000000010000000100000001000000E5 -S3154000B12001000000010000000100000001000000D5 -S3154000B13001000000010000000100000001000000C5 -S3154000B14001000000010000000100000001000000B5 -S3154000B15001000000010000000100000001000000A5 -S3154000B1600100000001000000010000000100000095 -S3154000B1700100000001000000010000000100000085 -S3154000B1800100000001000000010000000100000075 -S3154000B1900100000001000000010000000100000065 -S3154000B1A00100000001000000010000000100000055 -S3154000B1B00100000001000000010000000100000045 -S3154000B1C00100000001000000010000000100000035 -S3154000B1D00100000001000000010000000100000025 -S3154000B1E00100000001000000010000000100000015 -S3154000B1F00100000001000000010000000100000005 -S3154000B20001000000010000000100000001000000F4 -S3154000B21001000000010000000100000001000000E4 -S3154000B22001000000010000000100000001000000D4 -S3154000B23001000000010000000100000001000000C4 -S3154000B24001000000010000000100000001000000B4 -S3154000B25001000000010000000100000001000000A4 -S3154000B2600100000001000000010000000100000094 -S3154000B2700100000001000000010000000100000084 -S3154000B2800100000001000000010000000100000074 -S3154000B2900100000001000000010000000100000064 -S3154000B2A00100000001000000010000000100000054 -S3154000B2B00100000001000000010000000100000044 -S3154000B2C00100000001000000010000000100000034 -S3154000B2D00100000001000000010000000100000024 -S3154000B2E00100000001000000010000000100000014 -S3154000B2F00100000001000000010000000100000004 -S3154000B30001000000010000000100000001000000F3 -S3154000B31001000000010000000100000001000000E3 -S3154000B32001000000010000000100000001000000D3 -S3154000B33001000000010000000100000001000000C3 -S3154000B34001000000010000000100000001000000B3 -S3154000B35001000000010000000100000001000000A3 -S3154000B3600100000001000000010000000100000093 -S3154000B3700100000001000000010000000100000083 -S3154000B3800100000001000000010000000100000073 -S3154000B3900100000001000000010000000100000063 -S3154000B3A00100000001000000010000000100000053 -S3154000B3B00100000001000000010000000100000043 -S3154000B3C00100000001000000010000000100000033 -S3154000B3D00100000001000000010000000100000023 -S3154000B3E00100000001000000010000000100000013 -S3154000B3F00100000001000000010000000100000003 -S3154000B40001000000010000000100000001000000F2 -S3154000B41001000000010000000100000001000000E2 -S3154000B42001000000010000000100000001000000D2 -S3154000B43001000000010000000100000001000000C2 -S3154000B44001000000010000000100000001000000B2 -S3154000B45001000000010000000100000001000000A2 -S3154000B4600100000001000000010000000100000092 -S3154000B4700100000001000000010000000100000082 -S3154000B4800100000001000000010000000100000072 -S3154000B4900100000001000000010000000100000062 -S3154000B4A00100000001000000010000000100000052 -S3154000B4B00100000001000000010000000100000042 -S3154000B4C00100000001000000010000000100000032 -S3154000B4D00100000001000000010000000100000022 -S3154000B4E00100000001000000010000000100000012 -S3154000B4F00100000001000000010000000100000002 -S3154000B50001000000010000000100000001000000F1 -S3154000B51001000000010000000100000001000000E1 -S3154000B52001000000010000000100000001000000D1 -S3154000B53001000000010000000100000001000000C1 -S3154000B54001000000010000000100000001000000B1 -S3154000B55001000000010000000100000001000000A1 -S3154000B5600100000001000000010000000100000091 -S3154000B5700100000001000000010000000100000081 -S3154000B5800100000001000000010000000100000071 -S3154000B5900100000001000000010000000100000061 -S3154000B5A00100000001000000010000000100000051 -S3154000B5B00100000001000000010000000100000041 -S3154000B5C00100000001000000010000000100000031 -S3154000B5D00100000001000000010000000100000021 -S3154000B5E00100000001000000010000000100000011 -S3154000B5F00100000001000000010000000100000001 -S3154000B60001000000010000000100000001000000F0 -S3154000B61001000000010000000100000001000000E0 -S3154000B62001000000010000000100000001000000D0 -S3154000B63001000000010000000100000001000000C0 -S3154000B64001000000010000000100000001000000B0 -S3154000B65001000000010000000100000001000000A0 -S3154000B6600100000001000000010000000100000090 -S3154000B6700100000001000000010000000100000080 -S3154000B6800100000001000000010000000100000070 -S3154000B6900100000001000000010000000100000060 -S3154000B6A00100000001000000010000000100000050 -S3154000B6B00100000001000000010000000100000040 -S3154000B6C00100000001000000010000000100000030 -S3154000B6D00100000001000000010000000100000020 -S3154000B6E00100000001000000010000000100000010 -S3154000B6F00100000001000000010000000100000000 -S3154000B70001000000010000000100000001000000EF -S3154000B71001000000010000000100000001000000DF -S3154000B72001000000010000000100000001000000CF -S3154000B73001000000010000000100000001000000BF -S3154000B74001000000010000000100000001000000AF -S3154000B750010000000100000001000000010000009F -S3154000B760010000000100000001000000010000008F -S3154000B770010000000100000001000000010000007F -S3154000B780010000000100000001000000010000006F -S3154000B790010000000100000001000000010000005F -S3154000B7A0010000000100000001000000010000004F -S3154000B7B0010000000100000001000000010000003F -S3154000B7C0010000000100000001000000010000002F -S3154000B7D0010000000100000001000000010000001F -S3154000B7E0010000000100000001000000010000000F -S3154000B7F001000000010000000100000001000000FF -S3154000B80001000000010000000100000001000000EE -S3154000B81001000000010000000100000001000000DE -S3154000B82001000000010000000100000001000000CE -S3154000B83001000000010000000100000001000000BE -S3154000B84001000000010000000100000001000000AE -S3154000B850010000000100000001000000010000009E -S3154000B860010000000100000001000000010000008E -S3154000B870010000000100000001000000010000007E -S3154000B880010000000100000001000000010000006E -S3154000B890010000000100000001000000010000005E -S3154000B8A0010000000100000001000000010000004E -S3154000B8B0010000000100000001000000010000003E -S3154000B8C0010000000100000001000000010000002E -S3154000B8D0010000000100000001000000010000001E -S3154000B8E0010000000100000001000000010000000E -S3154000B8F001000000010000000100000001000000FE -S3154000B90001000000010000000100000001000000ED -S3154000B91001000000010000000100000001000000DD -S3154000B92001000000010000000100000001000000CD -S3154000B93001000000010000000100000001000000BD -S3154000B94001000000010000000100000001000000AD -S3154000B950010000000100000001000000010000009D -S3154000B960010000000100000001000000010000008D -S3154000B970010000000100000001000000010000007D -S3154000B980010000000100000001000000010000006D -S3154000B990010000000100000001000000010000005D -S3154000B9A0010000000100000001000000010000004D -S3154000B9B0010000000100000001000000010000003D -S3154000B9C0010000000100000001000000010000002D -S3154000B9D0010000000100000001000000010000001D -S3154000B9E0010000000100000001000000010000000D -S3154000B9F001000000010000000100000001000000FD -S3154000BA0001000000010000000100000001000000EC -S3154000BA1001000000010000000100000001000000DC -S3154000BA2001000000010000000100000001000000CC -S3154000BA3001000000010000000100000001000000BC -S3154000BA4001000000010000000100000001000000AC -S3154000BA50010000000100000001000000010000009C -S3154000BA60010000000100000001000000010000008C -S3154000BA70010000000100000001000000010000007C -S3154000BA80010000000100000001000000010000006C -S3154000BA90010000000100000001000000010000005C -S3154000BAA0010000000100000001000000010000004C -S3154000BAB0010000000100000001000000010000003C -S3154000BAC0010000000100000001000000010000002C -S3154000BAD0010000000100000001000000010000001C -S3154000BAE0010000000100000001000000010000000C -S3154000BAF001000000010000000100000001000000FC -S3154000BB0001000000010000000100000001000000EB -S3154000BB1001000000010000000100000001000000DB -S3154000BB2001000000010000000100000001000000CB -S3154000BB3001000000010000000100000001000000BB -S3154000BB4001000000010000000100000001000000AB -S3154000BB50010000000100000001000000010000009B -S3154000BB60010000000100000001000000010000008B -S3154000BB70010000000100000001000000010000007B -S3154000BB80010000000100000001000000010000006B -S3154000BB90010000000100000001000000010000005B -S3154000BBA0010000000100000001000000010000004B -S3154000BBB0010000000100000001000000010000003B -S3154000BBC0010000000100000001000000010000002B -S3154000BBD0010000000100000001000000010000001B -S3154000BBE0010000000100000001000000010000000B -S3154000BBF001000000010000000100000001000000FB -S3154000BC0001000000010000000100000001000000EA -S3154000BC1001000000010000000100000001000000DA -S3154000BC2001000000010000000100000001000000CA -S3154000BC3001000000010000000100000001000000BA -S3154000BC4001000000010000000100000001000000AA -S3154000BC50010000000100000001000000010000009A -S3154000BC60010000000100000001000000010000008A -S3154000BC70010000000100000001000000010000007A -S3154000BC80010000000100000001000000010000006A -S3154000BC90010000000100000001000000010000005A -S3154000BCA0010000000100000001000000010000004A -S3154000BCB0010000000100000001000000010000003A -S3154000BCC0010000000100000001000000010000002A -S3154000BCD0010000000100000001000000010000001A -S3154000BCE0010000000100000001000000010000000A -S3154000BCF001000000010000000100000001000000FA -S3154000BD0001000000010000000100000001000000E9 -S3154000BD1001000000010000000100000001000000D9 -S3154000BD2001000000010000000100000001000000C9 -S3154000BD3001000000010000000100000001000000B9 -S3154000BD4001000000010000000100000001000000A9 -S3154000BD500100000001000000010000000100000099 -S3154000BD600100000001000000010000000100000089 -S3154000BD700100000001000000010000000100000079 -S3154000BD800100000001000000010000000100000069 -S3154000BD900100000001000000010000000100000059 -S3154000BDA00100000001000000010000000100000049 -S3154000BDB00100000001000000010000000100000039 -S3154000BDC00100000001000000010000000100000029 -S3154000BDD00100000001000000010000000100000019 -S3154000BDE00100000001000000010000000100000009 -S3154000BDF001000000010000000100000001000000F9 -S3154000BE0001000000010000000100000001000000E8 -S3154000BE1001000000010000000100000001000000D8 -S3154000BE2001000000010000000100000001000000C8 -S3154000BE3001000000010000000100000001000000B8 -S3154000BE4001000000010000000100000001000000A8 -S3154000BE500100000001000000010000000100000098 -S3154000BE600100000001000000010000000100000088 -S3154000BE700100000001000000010000000100000078 -S3154000BE800100000001000000010000000100000068 -S3154000BE900100000001000000010000000100000058 -S3154000BEA00100000001000000010000000100000048 -S3154000BEB00100000001000000010000000100000038 -S3154000BEC00100000001000000010000000100000028 -S3154000BED00100000001000000010000000100000018 -S3154000BEE00100000001000000010000000100000008 -S3154000BEF001000000010000000100000001000000F8 -S3154000BF0001000000010000000100000001000000E7 -S3154000BF1001000000010000000100000001000000D7 -S3154000BF2001000000010000000100000001000000C7 -S3154000BF3001000000010000000100000001000000B7 -S3154000BF4001000000010000000100000001000000A7 -S3154000BF500100000001000000010000000100000097 -S3154000BF600100000001000000010000000100000087 -S3154000BF700100000001000000010000000100000077 -S3154000BF800100000001000000010000000100000067 -S3154000BF900100000001000000010000000100000057 -S3154000BFA00100000001000000010000000100000047 -S3154000BFB00100000001000000010000000100000037 -S3154000BFC00100000001000000010000000100000027 -S3154000BFD00100000001000000010000000100000017 -S3154000BFE00100000001000000010000000100000007 -S3154000BFF001000000010000000100000001000000F7 -S3154000C00001000000010000000100000001000000E6 -S3154000C01001000000010000000100000001000000D6 -S3154000C02001000000010000000100000001000000C6 -S3154000C03001000000010000000100000001000000B6 -S3154000C04001000000010000000100000001000000A6 -S3154000C0500100000001000000010000000100000096 -S3154000C0600100000001000000010000000100000086 -S3154000C0700100000001000000010000000100000076 -S3154000C0800100000001000000010000000100000066 -S3154000C0900100000001000000010000000100000056 -S3154000C0A00100000001000000010000000100000046 -S3154000C0B00100000001000000010000000100000036 -S3154000C0C00100000001000000010000000100000026 -S3154000C0D00100000001000000010000000100000016 -S3154000C0E00100000001000000010000000100000006 -S3154000C0F001000000010000000100000001000000F6 -S3154000C10001000000010000000100000001000000E5 -S3154000C11001000000010000000100000001000000D5 -S3154000C12001000000010000000100000001000000C5 -S3154000C13001000000010000000100000001000000B5 -S3154000C14001000000010000000100000001000000A5 -S3154000C1500100000001000000010000000100000095 -S3154000C1600100000001000000010000000100000085 -S3154000C1700100000001000000010000000100000075 -S3154000C1800100000001000000010000000100000065 -S3154000C1900100000001000000010000000100000055 -S3154000C1A00100000001000000010000000100000045 -S3154000C1B00100000001000000010000000100000035 -S3154000C1C00100000001000000010000000100000025 -S3154000C1D00100000001000000010000000100000015 -S3154000C1E00100000001000000010000000100000005 -S3154000C1F001000000010000000100000001000000F5 -S3154000C20001000000010000000100000001000000E4 -S3154000C21001000000010000000100000001000000D4 -S3154000C22001000000010000000100000001000000C4 -S3154000C23001000000010000000100000001000000B4 -S3154000C24001000000010000000100000001000000A4 -S3154000C2500100000001000000010000000100000094 -S3154000C2600100000001000000010000000100000084 -S3154000C2700100000001000000010000000100000074 -S3154000C2800100000001000000010000000100000064 -S3154000C2900100000001000000010000000100000054 -S3154000C2A00100000001000000010000000100000044 -S3154000C2B00100000001000000010000000100000034 -S3154000C2C00100000001000000010000000100000024 -S3154000C2D00100000001000000010000000100000014 -S3154000C2E00100000001000000010000000100000004 -S3154000C2F001000000010000000100000001000000F4 -S3154000C30001000000010000000100000001000000E3 -S3154000C31001000000010000000100000001000000D3 -S3154000C32001000000010000000100000001000000C3 -S3154000C33001000000010000000100000001000000B3 -S3154000C34001000000010000000100000001000000A3 -S3154000C3500100000001000000010000000100000093 -S3154000C3600100000001000000010000000100000083 -S3154000C3700100000001000000010000000100000073 -S3154000C3800100000001000000010000000100000063 -S3154000C3900100000001000000010000000100000053 -S3154000C3A00100000001000000010000000100000043 -S3154000C3B00100000001000000010000000100000033 -S3154000C3C00100000001000000010000000100000023 -S3154000C3D00100000001000000010000000100000013 -S3154000C3E00100000001000000010000000100000003 -S3154000C3F001000000010000000100000001000000F3 -S3154000C40001000000010000000100000001000000E2 -S3154000C41001000000010000000100000001000000D2 -S3154000C42001000000010000000100000001000000C2 -S3154000C43001000000010000000100000001000000B2 -S3154000C44001000000010000000100000001000000A2 -S3154000C4500100000001000000010000000100000092 -S3154000C4600100000001000000010000000100000082 -S3154000C4700100000001000000010000000100000072 -S3154000C4800100000001000000010000000100000062 -S3154000C4900100000001000000010000000100000052 -S3154000C4A00100000001000000010000000100000042 -S3154000C4B00100000001000000010000000100000032 -S3154000C4C00100000001000000010000000100000022 -S3154000C4D00100000001000000010000000100000012 -S3154000C4E00100000001000000010000000100000002 -S3154000C4F001000000010000000100000001000000F2 -S3154000C50001000000010000000100000001000000E1 -S3154000C51001000000010000000100000001000000D1 -S3154000C52001000000010000000100000001000000C1 -S3154000C53001000000010000000100000001000000B1 -S3154000C54001000000010000000100000001000000A1 -S3154000C5500100000001000000010000000100000091 -S3154000C5600100000001000000010000000100000081 -S3154000C5700100000001000000010000000100000071 -S3154000C5800100000001000000010000000100000061 -S3154000C5900100000001000000010000000100000051 -S3154000C5A00100000001000000010000000100000041 -S3154000C5B00100000001000000010000000100000031 -S3154000C5C00100000001000000010000000100000021 -S3154000C5D00100000001000000010000000100000011 -S3154000C5E00100000001000000010000000100000001 -S3154000C5F001000000010000000100000001000000F1 -S3154000C60001000000010000000100000001000000E0 -S3154000C61001000000010000000100000001000000D0 -S3154000C62001000000010000000100000001000000C0 -S3154000C63001000000010000000100000001000000B0 -S3154000C64001000000010000000100000001000000A0 -S3154000C6500100000001000000010000000100000090 -S3154000C6600100000001000000010000000100000080 -S3154000C6700100000001000000010000000100000070 -S3154000C6800100000001000000010000000100000060 -S3154000C6900100000001000000010000000100000050 -S3154000C6A00100000001000000010000000100000040 -S3154000C6B00100000001000000010000000100000030 -S3154000C6C00100000001000000010000000100000020 -S3154000C6D00100000001000000010000000100000010 -S3154000C6E00100000001000000010000000100000000 -S3154000C6F001000000010000000100000001000000F0 -S3154000C70001000000010000000100000001000000DF -S3154000C71001000000010000000100000001000000CF -S3154000C72001000000010000000100000001000000BF -S3154000C73001000000010000000100000001000000AF -S3154000C740010000000100000001000000010000009F -S3154000C750010000000100000001000000010000008F -S3154000C760010000000100000001000000010000007F -S3154000C770010000000100000001000000010000006F -S3154000C780010000000100000001000000010000005F -S3154000C790010000000100000001000000010000004F -S3154000C7A0010000000100000001000000010000003F -S3154000C7B0010000000100000001000000010000002F -S3154000C7C0010000000100000001000000010000001F -S3154000C7D0010000000100000001000000010000000F -S3154000C7E001000000010000000100000001000000FF -S3154000C7F001000000010000000100000001000000EF -S3154000C80001000000010000000100000001000000DE -S3154000C81001000000010000000100000001000000CE -S3154000C82001000000010000000100000001000000BE -S3154000C83001000000010000000100000001000000AE -S3154000C840010000000100000001000000010000009E -S3154000C850010000000100000001000000010000008E -S3154000C860010000000100000001000000010000007E -S3154000C870010000000100000001000000010000006E -S3154000C880010000000100000001000000010000005E -S3154000C890010000000100000001000000010000004E -S3154000C8A0010000000100000001000000010000003E -S3154000C8B0010000000100000001000000010000002E -S3154000C8C0010000000100000001000000010000001E -S3154000C8D0010000000100000001000000010000000E -S3154000C8E001000000010000000100000001000000FE -S3154000C8F001000000010000000100000001000000EE -S3154000C90001000000010000000100000001000000DD -S3154000C91001000000010000000100000001000000CD -S3154000C92001000000010000000100000001000000BD -S3154000C93001000000010000000100000001000000AD -S3154000C940010000000100000001000000010000009D -S3154000C950010000000100000001000000010000008D -S3154000C960010000000100000001000000010000007D -S3154000C970010000000100000001000000010000006D -S3154000C980010000000100000001000000010000005D -S3154000C990010000000100000001000000010000004D -S3154000C9A0010000000100000001000000010000003D -S3154000C9B0010000000100000001000000010000002D -S3154000C9C0010000000100000001000000010000001D -S3154000C9D0010000000100000001000000010000000D -S3154000C9E001000000010000000100000001000000FD -S3154000C9F001000000010000000100000001000000ED -S3154000CA0001000000010000000100000001000000DC -S3154000CA1001000000010000000100000001000000CC -S3154000CA2001000000010000000100000001000000BC -S3154000CA3001000000010000000100000001000000AC -S3154000CA40010000000100000001000000010000009C -S3154000CA50010000000100000001000000010000008C -S3154000CA60010000000100000001000000010000007C -S3154000CA70010000000100000001000000010000006C -S3154000CA80010000000100000001000000010000005C -S3154000CA90010000000100000001000000010000004C -S3154000CAA0010000000100000001000000010000003C -S3154000CAB0010000000100000001000000010000002C -S3154000CAC0010000000100000001000000010000001C -S3154000CAD0010000000100000001000000010000000C -S3154000CAE001000000010000000100000001000000FC -S3154000CAF001000000010000000100000001000000EC -S3154000CB0001000000010000000100000001000000DB -S3154000CB1001000000010000000100000001000000CB -S3154000CB2001000000010000000100000001000000BB -S3154000CB3001000000010000000100000001000000AB -S3154000CB40010000000100000001000000010000009B -S3154000CB50010000000100000001000000010000008B -S3154000CB60010000000100000001000000010000007B -S3154000CB70010000000100000001000000010000006B -S3154000CB80010000000100000001000000010000005B -S3154000CB90010000000100000001000000010000004B -S3154000CBA0010000000100000001000000010000003B -S3154000CBB0010000000100000001000000010000002B -S3154000CBC0010000000100000001000000010000001B -S3154000CBD0010000000100000001000000010000000B -S3154000CBE001000000010000000100000001000000FB -S3154000CBF001000000010000000100000001000000EB -S3154000CC0001000000010000000100000001000000DA -S3154000CC1001000000010000000100000001000000CA -S3154000CC2001000000010000000100000001000000BA -S3154000CC3001000000010000000100000001000000AA -S3154000CC40010000000100000001000000010000009A -S3154000CC50010000000100000001000000010000008A -S3154000CC60010000000100000001000000010000007A -S3154000CC70010000000100000001000000010000006A -S3154000CC80010000000100000001000000010000005A -S3154000CC90010000000100000001000000010000004A -S3154000CCA0010000000100000001000000010000003A -S3154000CCB0010000000100000001000000010000002A -S3154000CCC0010000000100000001000000010000001A -S3154000CCD0010000000100000001000000010000000A -S3154000CCE001000000010000000100000001000000FA -S3154000CCF001000000010000000100000001000000EA -S3154000CD0001000000010000000100000001000000D9 -S3154000CD1001000000010000000100000001000000C9 -S3154000CD2001000000010000000100000001000000B9 -S3154000CD3001000000010000000100000001000000A9 -S3154000CD400100000001000000010000000100000099 -S3154000CD500100000001000000010000000100000089 -S3154000CD600100000001000000010000000100000079 -S3154000CD700100000001000000010000000100000069 -S3154000CD800100000001000000010000000100000059 -S3154000CD900100000001000000010000000100000049 -S3154000CDA00100000001000000010000000100000039 -S3154000CDB00100000001000000010000000100000029 -S3154000CDC00100000001000000010000000100000019 -S3154000CDD00100000001000000010000000100000009 -S3154000CDE001000000010000000100000001000000F9 -S3154000CDF001000000010000000100000001000000E9 -S3154000CE0001000000010000000100000001000000D8 -S3154000CE1001000000010000000100000001000000C8 -S3154000CE2001000000010000000100000001000000B8 -S3154000CE3001000000010000000100000001000000A8 -S3154000CE400100000001000000010000000100000098 -S3154000CE500100000001000000010000000100000088 -S3154000CE600100000001000000010000000100000078 -S3154000CE700100000001000000010000000100000068 -S3154000CE800100000001000000010000000100000058 -S3154000CE900100000001000000010000000100000048 -S3154000CEA00100000001000000010000000100000038 -S3154000CEB00100000001000000010000000100000028 -S3154000CEC00100000001000000010000000100000018 -S3154000CED00100000001000000010000000100000008 -S3154000CEE001000000010000000100000001000000F8 -S3154000CEF001000000010000000100000001000000E8 -S3154000CF0001000000010000000100000001000000D7 -S3154000CF1001000000010000000100000001000000C7 -S3154000CF2001000000010000000100000001000000B7 -S3154000CF3001000000010000000100000001000000A7 -S3154000CF400100000001000000010000000100000097 -S3154000CF500100000001000000010000000100000087 -S3154000CF600100000001000000010000000100000077 -S3154000CF700100000001000000010000000100000067 -S3154000CF800100000001000000010000000100000057 -S3154000CF900100000001000000010000000100000047 -S3154000CFA00100000001000000010000000100000037 -S3154000CFB00100000001000000010000000100000027 -S3154000CFC00100000001000000010000000100000017 -S3154000CFD00100000001000000010000000100000007 -S3154000CFE001000000010000000100000001000000F7 -S3154000CFF001000000010000000100000001000000E7 -S3154000D00001000000010000000100000001000000D6 -S3154000D01001000000010000000100000001000000C6 -S3154000D02001000000010000000100000001000000B6 -S3154000D03001000000010000000100000001000000A6 -S3154000D0400100000001000000010000000100000096 -S3154000D0500100000001000000010000000100000086 -S3154000D0600100000001000000010000000100000076 -S3154000D0700100000001000000010000000100000066 -S3154000D0800100000001000000010000000100000056 -S3154000D0900100000001000000010000000100000046 -S3154000D0A00100000001000000010000000100000036 -S3154000D0B00100000001000000010000000100000026 -S3154000D0C00100000001000000010000000100000016 -S3154000D0D00100000001000000010000000100000006 -S3154000D0E001000000010000000100000001000000F6 -S3154000D0F001000000010000000100000001000000E6 -S3154000D10001000000010000000100000001000000D5 -S3154000D11001000000010000000100000001000000C5 -S3154000D12001000000010000000100000001000000B5 -S3154000D13001000000010000000100000001000000A5 -S3154000D1400100000001000000010000000100000095 -S3154000D1500100000001000000010000000100000085 -S3154000D1600100000001000000010000000100000075 -S3154000D1700100000001000000010000000100000065 -S3154000D1800100000001000000010000000100000055 -S3154000D1900100000001000000010000000100000045 -S3154000D1A00100000001000000010000000100000035 -S3154000D1B00100000001000000010000000100000025 -S3154000D1C00100000001000000010000000100000015 -S3154000D1D00100000001000000010000000100000005 -S3154000D1E001000000010000000100000001000000F5 -S3154000D1F001000000010000000100000001000000E5 -S3154000D20001000000010000000100000001000000D4 -S3154000D21001000000010000000100000001000000C4 -S3154000D22001000000010000000100000001000000B4 -S3154000D23001000000010000000100000001000000A4 -S3154000D2400100000001000000010000000100000094 -S3154000D2500100000001000000010000000100000084 -S3154000D2600100000001000000010000000100000074 -S3154000D2700100000001000000010000000100000064 -S3154000D2800100000001000000010000000100000054 -S3154000D2900100000001000000010000000100000044 -S3154000D2A00100000001000000010000000100000034 -S3154000D2B00100000001000000010000000100000024 -S3154000D2C00100000001000000010000000100000014 -S3154000D2D00100000001000000010000000100000004 -S3154000D2E001000000010000000100000001000000F4 -S3154000D2F001000000010000000100000001000000E4 -S3154000D30001000000010000000100000001000000D3 -S3154000D31001000000010000000100000001000000C3 -S3154000D32001000000010000000100000001000000B3 -S3154000D33001000000010000000100000001000000A3 -S3154000D3400100000001000000010000000100000093 -S3154000D3500100000001000000010000000100000083 -S3154000D3600100000001000000010000000100000073 -S3154000D3700100000001000000010000000100000063 -S3154000D3800100000001000000010000000100000053 -S3154000D3900100000001000000010000000100000043 -S3154000D3A00100000001000000010000000100000033 -S3154000D3B00100000001000000010000000100000023 -S3154000D3C00100000001000000010000000100000013 -S3154000D3D00100000001000000010000000100000003 -S3154000D3E001000000010000000100000001000000F3 -S3154000D3F001000000010000000100000001000000E3 -S3154000D40001000000010000000100000001000000D2 -S3154000D41001000000010000000100000001000000C2 -S3154000D42001000000010000000100000001000000B2 -S3154000D43001000000010000000100000001000000A2 -S3154000D4400100000001000000010000000100000092 -S3154000D4500100000001000000010000000100000082 -S3154000D4600100000001000000010000000100000072 -S3154000D4700100000001000000010000000100000062 -S3154000D4800100000001000000010000000100000052 -S3154000D4900100000001000000010000000100000042 -S3154000D4A00100000001000000010000000100000032 -S3154000D4B00100000001000000010000000100000022 -S3154000D4C00100000001000000010000000100000012 -S3154000D4D00100000001000000010000000100000002 -S3154000D4E001000000010000000100000001000000F2 -S3154000D4F001000000010000000100000001000000E2 -S3154000D50001000000010000000100000001000000D1 -S3154000D51001000000010000000100000001000000C1 -S3154000D52001000000010000000100000001000000B1 -S3154000D53001000000010000000100000001000000A1 -S3154000D5400100000001000000010000000100000091 -S3154000D5500100000001000000010000000100000081 -S3154000D5600100000001000000010000000100000071 -S3154000D5700100000001000000010000000100000061 -S3154000D5800100000001000000010000000100000051 -S3154000D5900100000001000000010000000100000041 -S3154000D5A00100000001000000010000000100000031 -S3154000D5B00100000001000000010000000100000021 -S3154000D5C00100000001000000010000000100000011 -S3154000D5D00100000001000000010000000100000001 -S3154000D5E001000000010000000100000001000000F1 -S3154000D5F001000000010000000100000001000000E1 -S3154000D60001000000010000000100000001000000D0 -S3154000D61001000000010000000100000001000000C0 -S3154000D62001000000010000000100000001000000B0 -S3154000D63001000000010000000100000001000000A0 -S3154000D6400100000001000000010000000100000090 -S3154000D6500100000001000000010000000100000080 -S3154000D6600100000001000000010000000100000070 -S3154000D6700100000001000000010000000100000060 -S3154000D6800100000001000000010000000100000050 -S3154000D6900100000001000000010000000100000040 -S3154000D6A00100000001000000010000000100000030 -S3154000D6B00100000001000000010000000100000020 -S3154000D6C00100000001000000010000000100000010 -S3154000D6D00100000001000000010000000100000000 -S3154000D6E001000000010000000100000001000000F0 -S3154000D6F001000000010000000100000001000000E0 -S3154000D70001000000010000000100000001000000CF -S3154000D71001000000010000000100000001000000BF -S3154000D72001000000010000000100000001000000AF -S3154000D730010000000100000001000000010000009F -S3154000D740010000000100000001000000010000008F -S3154000D750010000000100000001000000010000007F -S3154000D760010000000100000001000000010000006F -S3154000D770010000000100000001000000010000005F -S3154000D780010000000100000001000000010000004F -S3154000D790010000000100000001000000010000003F -S3154000D7A0010000000100000001000000010000002F -S3154000D7B0010000000100000001000000010000001F -S3154000D7C0010000000100000001000000010000000F -S3154000D7D001000000010000000100000001000000FF -S3154000D7E001000000010000000100000001000000EF -S3154000D7F001000000010000000100000001000000DF -S3154000D80001000000010000000100000001000000CE -S3154000D81001000000010000000100000001000000BE -S3154000D82001000000010000000100000001000000AE -S3154000D830010000000100000001000000010000009E -S3154000D840010000000100000001000000010000008E -S3154000D850010000000100000001000000010000007E -S3154000D860010000000100000001000000010000006E -S3154000D870010000000100000001000000010000005E -S3154000D880010000000100000001000000010000004E -S3154000D890010000000100000001000000010000003E -S3154000D8A0010000000100000001000000010000002E -S3154000D8B0010000000100000001000000010000001E -S3154000D8C0010000000100000001000000010000000E -S3154000D8D001000000010000000100000001000000FE -S3154000D8E001000000010000000100000001000000EE -S3154000D8F001000000010000000100000001000000DE -S3154000D90001000000010000000100000001000000CD -S3154000D91001000000010000000100000001000000BD -S3154000D92001000000010000000100000001000000AD -S3154000D930010000000100000001000000010000009D -S3154000D940010000000100000001000000010000008D -S3154000D950010000000100000001000000010000007D -S3154000D960010000000100000001000000010000006D -S3154000D970010000000100000001000000010000005D -S3154000D980010000000100000001000000010000004D -S3154000D990010000000100000001000000010000003D -S3154000D9A0010000000100000001000000010000002D -S3154000D9B0010000000100000001000000010000001D -S3154000D9C0010000000100000001000000010000000D -S3154000D9D001000000010000000100000001000000FD -S3154000D9E001000000010000000100000001000000ED -S3154000D9F001000000010000000100000001000000DD -S3154000DA0001000000010000000100000001000000CC -S3154000DA1001000000010000000100000001000000BC -S3154000DA2001000000010000000100000001000000AC -S3154000DA30010000000100000001000000010000009C -S3154000DA40010000000100000001000000010000008C -S3154000DA50010000000100000001000000010000007C -S3154000DA60010000000100000001000000010000006C -S3154000DA70010000000100000001000000010000005C -S3154000DA80010000000100000001000000010000004C -S3154000DA90010000000100000001000000010000003C -S3154000DAA0010000000100000001000000010000002C -S3154000DAB0010000000100000001000000010000001C -S3154000DAC0010000000100000001000000010000000C -S3154000DAD001000000010000000100000001000000FC -S3154000DAE001000000010000000100000001000000EC -S3154000DAF001000000010000000100000001000000DC -S3154000DB0001000000010000000100000001000000CB -S3154000DB1001000000010000000100000001000000BB -S3154000DB2001000000010000000100000001000000AB -S3154000DB30010000000100000001000000010000009B -S3154000DB40010000000100000001000000010000008B -S3154000DB50010000000100000001000000010000007B -S3154000DB60010000000100000001000000010000006B -S3154000DB70010000000100000001000000010000005B -S3154000DB80010000000100000001000000010000004B -S3154000DB90010000000100000001000000010000003B -S3154000DBA0010000000100000001000000010000002B -S3154000DBB0010000000100000001000000010000001B -S3154000DBC0010000000100000001000000010000000B -S3154000DBD001000000010000000100000001000000FB -S3154000DBE001000000010000000100000001000000EB -S3154000DBF001000000010000000100000001000000DB -S3154000DC0001000000010000000100000001000000CA -S3154000DC1001000000010000000100000001000000BA -S3154000DC2001000000010000000100000001000000AA -S3154000DC30010000000100000001000000010000009A -S3154000DC40010000000100000001000000010000008A -S3154000DC50010000000100000001000000010000007A -S3154000DC60010000000100000001000000010000006A -S3154000DC70010000000100000001000000010000005A -S3154000DC80010000000100000001000000010000004A -S3154000DC90010000000100000001000000010000003A -S3154000DCA0010000000100000001000000010000002A -S3154000DCB0010000000100000001000000010000001A -S3154000DCC0010000000100000001000000010000000A -S3154000DCD001000000010000000100000001000000FA -S3154000DCE001000000010000000100000001000000EA -S3154000DCF001000000010000000100000001000000DA -S3154000DD0001000000010000000100000001000000C9 -S3154000DD1001000000010000000100000001000000B9 -S3154000DD2001000000010000000100000001000000A9 -S3154000DD300100000001000000010000000100000099 -S3154000DD400100000001000000010000000100000089 -S3154000DD500100000001000000010000000100000079 -S3154000DD600100000001000000010000000100000069 -S3154000DD700100000001000000010000000100000059 -S3154000DD800100000001000000010000000100000049 -S3154000DD900100000001000000010000000100000039 -S3154000DDA00100000001000000010000000100000029 -S3154000DDB00100000001000000010000000100000019 -S3154000DDC00100000001000000010000000100000009 -S3154000DDD001000000010000000100000001000000F9 -S3154000DDE001000000010000000100000001000000E9 -S3154000DDF001000000010000000100000001000000D9 -S3154000DE0001000000010000000100000001000000C8 -S3154000DE1001000000010000000100000001000000B8 -S3154000DE2001000000010000000100000001000000A8 -S3154000DE300100000001000000010000000100000098 -S3154000DE400100000001000000010000000100000088 -S3154000DE500100000001000000010000000100000078 -S3154000DE600100000001000000010000000100000068 -S3154000DE700100000001000000010000000100000058 -S3154000DE800100000001000000010000000100000048 -S3154000DE900100000001000000010000000100000038 -S3154000DEA00100000001000000010000000100000028 -S3154000DEB00100000001000000010000000100000018 -S3154000DEC00100000001000000010000000100000008 -S3154000DED001000000010000000100000001000000F8 -S3154000DEE001000000010000000100000001000000E8 -S3154000DEF001000000010000000100000001000000D8 -S3154000DF0001000000010000000100000001000000C7 -S3154000DF1001000000010000000100000001000000B7 -S3154000DF2001000000010000000100000001000000A7 -S3154000DF300100000001000000010000000100000097 -S3154000DF400100000001000000010000000100000087 -S3154000DF500100000001000000010000000100000077 -S3154000DF600100000001000000010000000100000067 -S3154000DF700100000001000000010000000100000057 -S3154000DF800100000001000000010000000100000047 -S3154000DF900100000001000000010000000100000037 -S3154000DFA00100000001000000010000000100000027 -S3154000DFB00100000001000000010000000100000017 -S3154000DFC00100000001000000010000000100000007 -S3154000DFD001000000010000000100000001000000F7 -S3154000DFE001000000010000000100000001000000E7 -S3154000DFF001000000010000000100000001000000D7 -S3154000E00001000000010000000100000001000000C6 -S3154000E01001000000010000000100000001000000B6 -S3154000E02001000000010000000100000001000000A6 -S3154000E0300100000001000000010000000100000096 -S3154000E0400100000001000000010000000100000086 -S3154000E0500100000001000000010000000100000076 -S3154000E0600100000001000000010000000100000066 -S3154000E0700100000001000000010000000100000056 -S3154000E0800100000001000000010000000100000046 -S3154000E0900100000001000000010000000100000036 -S3154000E0A00100000001000000010000000100000026 -S3154000E0B00100000001000000010000000100000016 -S3154000E0C00100000001000000010000000100000006 -S3154000E0D001000000010000000100000001000000F6 -S3154000E0E001000000010000000100000001000000E6 -S3154000E0F001000000010000000100000001000000D6 -S3154000E10001000000010000000100000001000000C5 -S3154000E11001000000010000000100000001000000B5 -S3154000E12001000000010000000100000001000000A5 -S3154000E1300100000001000000010000000100000095 -S3154000E1400100000001000000010000000100000085 -S3154000E1500100000001000000010000000100000075 -S3154000E1600100000001000000010000000100000065 -S3154000E1700100000001000000010000000100000055 -S3154000E1800100000001000000010000000100000045 -S3154000E1900100000001000000010000000100000035 -S3154000E1A00100000001000000010000000100000025 -S3154000E1B00100000001000000010000000100000015 -S3154000E1C00100000001000000010000000100000005 -S3154000E1D001000000010000000100000001000000F5 -S3154000E1E001000000010000000100000001000000E5 -S3154000E1F001000000010000000100000001000000D5 -S3154000E20001000000010000000100000001000000C4 -S3154000E21001000000010000000100000001000000B4 -S3154000E22001000000010000000100000001000000A4 -S3154000E2300100000001000000010000000100000094 -S3154000E2400100000001000000010000000100000084 -S3154000E2500100000001000000010000000100000074 -S3154000E2600100000001000000010000000100000064 -S3154000E2700100000001000000010000000100000054 -S3154000E2800100000001000000010000000100000044 -S3154000E2900100000001000000010000000100000034 -S3154000E2A00100000001000000010000000100000024 -S3154000E2B00100000001000000010000000100000014 -S3154000E2C00100000001000000010000000100000004 -S3154000E2D001000000010000000100000001000000F4 -S3154000E2E001000000010000000100000001000000E4 -S3154000E2F001000000010000000100000001000000D4 -S3154000E30001000000010000000100000001000000C3 -S3154000E31001000000010000000100000001000000B3 -S3154000E32001000000010000000100000001000000A3 -S3154000E3300100000001000000010000000100000093 -S3154000E3400100000001000000010000000100000083 -S3154000E3500100000001000000010000000100000073 -S3154000E3600100000001000000010000000100000063 -S3154000E3700100000001000000010000000100000053 -S3154000E3800100000001000000010000000100000043 -S3154000E3900100000001000000010000000100000033 -S3154000E3A00100000001000000010000000100000023 -S3154000E3B00100000001000000010000000100000013 -S3154000E3C00100000001000000010000000100000003 -S3154000E3D001000000010000000100000001000000F3 -S3154000E3E001000000010000000100000001000000E3 -S3154000E3F001000000010000000100000001000000D3 -S3154000E40001000000010000000100000001000000C2 -S3154000E41001000000010000000100000001000000B2 -S3154000E42001000000010000000100000001000000A2 -S3154000E4300100000001000000010000000100000092 -S3154000E4400100000001000000010000000100000082 -S3154000E4500100000001000000010000000100000072 -S3154000E4600100000001000000010000000100000062 -S3154000E4700100000001000000010000000100000052 -S3154000E4800100000001000000010000000100000042 -S3154000E4900100000001000000010000000100000032 -S3154000E4A00100000001000000010000000100000022 -S3154000E4B00100000001000000010000000100000012 -S3154000E4C00100000001000000010000000100000002 -S3154000E4D001000000010000000100000001000000F2 -S3154000E4E001000000010000000100000001000000E2 -S3154000E4F001000000010000000100000001000000D2 -S3154000E50001000000010000000100000001000000C1 -S3154000E51001000000010000000100000001000000B1 -S3154000E52001000000010000000100000001000000A1 -S3154000E5300100000001000000010000000100000091 -S3154000E5400100000001000000010000000100000081 -S3154000E5500100000001000000010000000100000071 -S3154000E5600100000001000000010000000100000061 -S3154000E5700100000001000000010000000100000051 -S3154000E5800100000001000000010000000100000041 -S3154000E5900100000001000000010000000100000031 -S3154000E5A00100000001000000010000000100000021 -S3154000E5B00100000001000000010000000100000011 -S3154000E5C00100000001000000010000000100000001 -S3154000E5D001000000010000000100000001000000F1 -S3154000E5E001000000010000000100000001000000E1 -S3154000E5F001000000010000000100000001000000D1 -S3154000E60001000000010000000100000001000000C0 -S3154000E61001000000010000000100000001000000B0 -S3154000E62001000000010000000100000001000000A0 -S3154000E6300100000001000000010000000100000090 -S3154000E6400100000001000000010000000100000080 -S3154000E6500100000001000000010000000100000070 -S3154000E6600100000001000000010000000100000060 -S3154000E6700100000001000000010000000100000050 -S3154000E6800100000001000000010000000100000040 -S3154000E6900100000001000000010000000100000030 -S3154000E6A00100000001000000010000000100000020 -S3154000E6B00100000001000000010000000100000010 -S3154000E6C00100000001000000010000000100000000 -S3154000E6D001000000010000000100000001000000F0 -S3154000E6E001000000010000000100000001000000E0 -S3154000E6F001000000010000000100000001000000D0 -S3154000E70001000000010000000100000001000000BF -S3154000E71001000000010000000100000001000000AF -S3154000E720010000000100000001000000010000009F -S3154000E730010000000100000001000000010000008F -S3154000E740010000000100000001000000010000007F -S3154000E750010000000100000001000000010000006F -S3154000E760010000000100000001000000010000005F -S3154000E770010000000100000001000000010000004F -S3154000E780010000000100000001000000010000003F -S3154000E790010000000100000001000000010000002F -S3154000E7A0010000000100000001000000010000001F -S3154000E7B0010000000100000001000000010000000F -S3154000E7C001000000010000000100000001000000FF -S3154000E7D001000000010000000100000001000000EF -S3154000E7E001000000010000000100000001000000DF -S3154000E7F001000000010000000100000001000000CF -S3154000E80001000000010000000100000001000000BE -S3154000E81001000000010000000100000001000000AE -S3154000E820010000000100000001000000010000009E -S3154000E830010000000100000001000000010000008E -S3154000E840010000000100000001000000010000007E -S3154000E850010000000100000001000000010000006E -S3154000E860010000000100000001000000010000005E -S3154000E870010000000100000001000000010000004E -S3154000E880010000000100000001000000010000003E -S3154000E890010000000100000001000000010000002E -S3154000E8A0010000000100000001000000010000001E -S3154000E8B0010000000100000001000000010000000E -S3154000E8C001000000010000000100000001000000FE -S3154000E8D001000000010000000100000001000000EE -S3154000E8E001000000010000000100000001000000DE -S3154000E8F001000000010000000100000001000000CE -S3154000E90001000000010000000100000001000000BD -S3154000E91001000000010000000100000001000000AD -S3154000E920010000000100000001000000010000009D -S3154000E930010000000100000001000000010000008D -S3154000E940010000000100000001000000010000007D -S3154000E950010000000100000001000000010000006D -S3154000E960010000000100000001000000010000005D -S3154000E970010000000100000001000000010000004D -S3154000E980010000000100000001000000010000003D -S3154000E990010000000100000001000000010000002D -S3154000E9A0010000000100000001000000010000001D -S3154000E9B0010000000100000001000000010000000D -S3154000E9C001000000010000000100000001000000FD -S3154000E9D001000000010000000100000001000000ED -S3154000E9E001000000010000000100000001000000DD -S3154000E9F001000000010000000100000001000000CD -S3154000EA0001000000010000000100000001000000BC -S3154000EA1001000000010000000100000001000000AC -S3154000EA20010000000100000001000000010000009C -S3154000EA30010000000100000001000000010000008C -S3154000EA40010000000100000001000000010000007C -S3154000EA50010000000100000001000000010000006C -S3154000EA60010000000100000001000000010000005C -S3154000EA70010000000100000001000000010000004C -S3154000EA80010000000100000001000000010000003C -S3154000EA90010000000100000001000000010000002C -S3154000EAA0010000000100000001000000010000001C -S3154000EAB0010000000100000001000000010000000C -S3154000EAC001000000010000000100000001000000FC -S3154000EAD001000000010000000100000001000000EC -S3154000EAE001000000010000000100000001000000DC -S3154000EAF001000000010000000100000001000000CC -S3154000EB0001000000010000000100000001000000BB -S3154000EB1001000000010000000100000001000000AB -S3154000EB20010000000100000001000000010000009B -S3154000EB30010000000100000001000000010000008B -S3154000EB40010000000100000001000000010000007B -S3154000EB50010000000100000001000000010000006B -S3154000EB60010000000100000001000000010000005B -S3154000EB70010000000100000001000000010000004B -S3154000EB80010000000100000001000000010000003B -S3154000EB90010000000100000001000000010000002B -S3154000EBA0010000000100000001000000010000001B -S3154000EBB0010000000100000001000000010000000B -S3154000EBC001000000010000000100000001000000FB -S3154000EBD001000000010000000100000001000000EB -S3154000EBE001000000010000000100000001000000DB -S3154000EBF001000000010000000100000001000000CB -S3154000EC0001000000010000000100000001000000BA -S3154000EC1001000000010000000100000001000000AA -S3154000EC20010000000100000001000000010000009A -S3154000EC30010000000100000001000000010000008A -S3154000EC40010000000100000001000000010000007A -S3154000EC50010000000100000001000000010000006A -S3154000EC60010000000100000001000000010000005A -S3154000EC70010000000100000001000000010000004A -S3154000EC80010000000100000001000000010000003A -S3154000EC90010000000100000001000000010000002A -S3154000ECA0010000000100000001000000010000001A -S3154000ECB0010000000100000001000000010000000A -S3154000ECC001000000010000000100000001000000FA -S3154000ECD001000000010000000100000001000000EA -S3154000ECE001000000010000000100000001000000DA -S3154000ECF001000000010000000100000001000000CA -S3154000ED0001000000010000000100000001000000B9 -S3154000ED1001000000010000000100000001000000A9 -S3154000ED200100000001000000010000000100000099 -S3154000ED300100000001000000010000000100000089 -S3154000ED400100000001000000010000000100000079 -S3154000ED500100000001000000010000000100000069 -S3154000ED600100000001000000010000000100000059 -S3154000ED700100000001000000010000000100000049 -S3154000ED800100000001000000010000000100000039 -S3154000ED900100000001000000010000000100000029 -S3154000EDA00100000001000000010000000100000019 -S3154000EDB00100000001000000010000000100000009 -S3154000EDC001000000010000000100000001000000F9 -S3154000EDD001000000010000000100000001000000E9 -S3154000EDE001000000010000000100000001000000D9 -S3154000EDF001000000010000000100000001000000C9 -S3154000EE0001000000010000000100000001000000B8 -S3154000EE1001000000010000000100000001000000A8 -S3154000EE200100000001000000010000000100000098 -S3154000EE300100000001000000010000000100000088 -S3154000EE400100000001000000010000000100000078 -S3154000EE500100000001000000010000000100000068 -S3154000EE600100000001000000010000000100000058 -S3154000EE700100000001000000010000000100000048 -S3154000EE800100000001000000010000000100000038 -S3154000EE900100000001000000010000000100000028 -S3154000EEA00100000001000000010000000100000018 -S3154000EEB00100000001000000010000000100000008 -S3154000EEC001000000010000000100000001000000F8 -S3154000EED001000000010000000100000001000000E8 -S3154000EEE001000000010000000100000001000000D8 -S3154000EEF001000000010000000100000001000000C8 -S3154000EF0001000000010000000100000001000000B7 -S3154000EF1001000000010000000100000001000000A7 -S3154000EF200100000001000000010000000100000097 -S3154000EF300100000001000000010000000100000087 -S3154000EF400100000001000000010000000100000077 -S3154000EF500100000001000000010000000100000067 -S3154000EF600100000001000000010000000100000057 -S3154000EF700100000001000000010000000100000047 -S3154000EF800100000001000000010000000100000037 -S3154000EF900100000001000000010000000100000027 -S3154000EFA00100000001000000010000000100000017 -S3154000EFB00100000001000000010000000100000007 -S3154000EFC001000000010000000100000001000000F7 -S3154000EFD001000000010000000100000001000000E7 -S3154000EFE001000000010000000100000001000000D7 -S3154000EFF001000000010000000100000001000000C7 -S3154000F00001000000010000000100000001000000B6 -S3154000F01001000000010000000100000001000000A6 -S3154000F0200100000001000000010000000100000096 -S3154000F0300100000001000000010000000100000086 -S3154000F0400100000001000000010000000100000076 -S3154000F0500100000001000000010000000100000066 -S3154000F0600100000001000000010000000100000056 -S3154000F0700100000001000000010000000100000046 -S3154000F0800100000001000000010000000100000036 -S3154000F0900100000001000000010000000100000026 -S3154000F0A00100000001000000010000000100000016 -S3154000F0B00100000001000000010000000100000006 -S3154000F0C001000000010000000100000001000000F6 -S3154000F0D001000000010000000100000001000000E6 -S3154000F0E001000000010000000100000001000000D6 -S3154000F0F001000000010000000100000001000000C6 -S3154000F10001000000010000000100000001000000B5 -S3154000F11001000000010000000100000001000000A5 -S3154000F1200100000001000000010000000100000095 -S3154000F1300100000001000000010000000100000085 -S3154000F1400100000001000000010000000100000075 -S3154000F1500100000001000000010000000100000065 -S3154000F1600100000001000000010000000100000055 -S3154000F1700100000001000000010000000100000045 -S3154000F1800100000001000000010000000100000035 -S3154000F1900100000001000000010000000100000025 -S3154000F1A00100000001000000010000000100000015 -S3154000F1B00100000001000000010000000100000005 -S3154000F1C001000000010000000100000001000000F5 -S3154000F1D001000000010000000100000001000000E5 -S3154000F1E001000000010000000100000001000000D5 -S3154000F1F001000000010000000100000001000000C5 -S3154000F20001000000010000000100000001000000B4 -S3154000F21001000000010000000100000001000000A4 -S3154000F2200100000001000000010000000100000094 -S3154000F2300100000001000000010000000100000084 -S3154000F2400100000001000000010000000100000074 -S3154000F2500100000001000000010000000100000064 -S3154000F2600100000001000000010000000100000054 -S3154000F2700100000001000000010000000100000044 -S3154000F2800100000001000000010000000100000034 -S3154000F2900100000001000000010000000100000024 -S3154000F2A00100000001000000010000000100000014 -S3154000F2B00100000001000000010000000100000004 -S3154000F2C001000000010000000100000001000000F4 -S3154000F2D001000000010000000100000001000000E4 -S3154000F2E001000000010000000100000001000000D4 -S3154000F2F001000000010000000100000001000000C4 -S3154000F30001000000010000000100000001000000B3 -S3154000F31001000000010000000100000001000000A3 -S3154000F3200100000001000000010000000100000093 -S3154000F3300100000001000000010000000100000083 -S3154000F3400100000001000000010000000100000073 -S3154000F3500100000001000000010000000100000063 -S3154000F3600100000001000000010000000100000053 -S3154000F3700100000001000000010000000100000043 -S3154000F3800100000001000000010000000100000033 -S3154000F3900100000001000000010000000100000023 -S3154000F3A00100000001000000010000000100000013 -S3154000F3B00100000001000000010000000100000003 -S3154000F3C001000000010000000100000001000000F3 -S3154000F3D001000000010000000100000001000000E3 -S3154000F3E001000000010000000100000001000000D3 -S3154000F3F001000000010000000100000001000000C3 -S3154000F40001000000010000000100000001000000B2 -S3154000F41001000000010000000100000001000000A2 -S3154000F4200100000001000000010000000100000092 -S3154000F4300100000001000000010000000100000082 -S3154000F4400100000001000000010000000100000072 -S3154000F4500100000001000000010000000100000062 -S3154000F4600100000001000000010000000100000052 -S3154000F4700100000001000000010000000100000042 -S3154000F4800100000001000000010000000100000032 -S3154000F4900100000001000000010000000100000022 -S3154000F4A00100000001000000010000000100000012 -S3154000F4B00100000001000000010000000100000002 -S3154000F4C001000000010000000100000001000000F2 -S3154000F4D001000000010000000100000001000000E2 -S3154000F4E001000000010000000100000001000000D2 -S3154000F4F001000000010000000100000001000000C2 -S3154000F50001000000010000000100000001000000B1 -S3154000F51001000000010000000100000001000000A1 -S3154000F5200100000001000000010000000100000091 -S3154000F5300100000001000000010000000100000081 -S3154000F5400100000001000000010000000100000071 -S3154000F5500100000001000000010000000100000061 -S3154000F5600100000001000000010000000100000051 -S3154000F5700100000001000000010000000100000041 -S3154000F5800100000001000000010000000100000031 -S3154000F5900100000001000000010000000100000021 -S3154000F5A00100000001000000010000000100000011 -S3154000F5B00100000001000000010000000100000001 -S3154000F5C001000000010000000100000001000000F1 -S3154000F5D001000000010000000100000001000000E1 -S3154000F5E001000000010000000100000001000000D1 -S3154000F5F001000000010000000100000001000000C1 -S3154000F60001000000010000000100000001000000B0 -S3154000F61001000000010000000100000001000000A0 -S3154000F6200100000001000000010000000100000090 -S3154000F6300100000001000000010000000100000080 -S3154000F6400100000001000000010000000100000070 -S3154000F6500100000001000000010000000100000060 -S3154000F6600100000001000000010000000100000050 -S3154000F6700100000001000000010000000100000040 -S3154000F6800100000001000000010000000100000030 -S3154000F6900100000001000000010000000100000020 -S3154000F6A00100000001000000010000000100000010 -S3154000F6B00100000001000000010000000100000000 -S3154000F6C001000000010000000100000001000000F0 -S3154000F6D001000000010000000100000001000000E0 -S3154000F6E001000000010000000100000001000000D0 -S3154000F6F001000000010000000100000001000000C0 -S3154000F70001000000010000000100000001000000AF -S3154000F710010000000100000001000000010000009F -S3154000F720010000000100000001000000010000008F -S3154000F730010000000100000001000000010000007F -S3154000F740010000000100000001000000010000006F -S3154000F750010000000100000001000000010000005F -S3154000F760010000000100000001000000010000004F -S3154000F770010000000100000001000000010000003F -S3154000F780010000000100000001000000010000002F -S3154000F790010000000100000001000000010000001F -S3154000F7A0010000000100000001000000010000000F -S3154000F7B001000000010000000100000001000000FF -S3154000F7C001000000010000000100000001000000EF -S3154000F7D001000000010000000100000001000000DF -S3154000F7E001000000010000000100000001000000CF -S3154000F7F001000000010000000100000001000000BF -S3154000F80001000000010000000100000001000000AE -S3154000F810010000000100000001000000010000009E -S3154000F820010000000100000001000000010000008E -S3154000F830010000000100000001000000010000007E -S3154000F840010000000100000001000000010000006E -S3154000F850010000000100000001000000010000005E -S3154000F860010000000100000001000000010000004E -S3154000F870010000000100000001000000010000003E -S3154000F880010000000100000001000000010000002E -S3154000F890010000000100000001000000010000001E -S3154000F8A0010000000100000001000000010000000E -S3154000F8B001000000010000000100000001000000FE -S3154000F8C001000000010000000100000001000000EE -S3154000F8D001000000010000000100000001000000DE -S3154000F8E001000000010000000100000001000000CE -S3154000F8F001000000010000000100000001000000BE -S3154000F90001000000010000000100000001000000AD -S3154000F910010000000100000001000000010000009D -S3154000F920010000000100000001000000010000008D -S3154000F930010000000100000001000000010000007D -S3154000F940010000000100000001000000010000006D -S3154000F950010000000100000001000000010000005D -S3154000F960010000000100000001000000010000004D -S3154000F970010000000100000001000000010000003D -S3154000F980010000000100000001000000010000002D -S3154000F990010000000100000001000000010000001D -S3154000F9A0010000000100000001000000010000000D -S3154000F9B001000000010000000100000001000000FD -S3154000F9C001000000010000000100000001000000ED -S3154000F9D001000000010000000100000001000000DD -S3154000F9E001000000010000000100000001000000CD -S3154000F9F001000000010000000100000001000000BD -S3154000FA0001000000010000000100000001000000AC -S3154000FA10010000000100000001000000010000009C -S3154000FA20010000000100000001000000010000008C -S3154000FA30010000000100000001000000010000007C -S3154000FA40010000000100000001000000010000006C -S3154000FA50010000000100000001000000010000005C -S3154000FA60010000000100000001000000010000004C -S3154000FA70010000000100000001000000010000003C -S3154000FA80010000000100000001000000010000002C -S3154000FA90010000000100000001000000010000001C -S3154000FAA0010000000100000001000000010000000C -S3154000FAB001000000010000000100000001000000FC -S3154000FAC001000000010000000100000001000000EC -S3154000FAD001000000010000000100000001000000DC -S3154000FAE001000000010000000100000001000000CC -S3154000FAF001000000010000000100000001000000BC -S3154000FB0001000000010000000100000001000000AB -S3154000FB10010000000100000001000000010000009B -S3154000FB20010000000100000001000000010000008B -S3154000FB30010000000100000001000000010000007B -S3154000FB40010000000100000001000000010000006B -S3154000FB50010000000100000001000000010000005B -S3154000FB60010000000100000001000000010000004B -S3154000FB70010000000100000001000000010000003B -S3154000FB80010000000100000001000000010000002B -S3154000FB90010000000100000001000000010000001B -S3154000FBA0010000000100000001000000010000000B -S3154000FBB001000000010000000100000001000000FB -S3154000FBC001000000010000000100000001000000EB -S3154000FBD001000000010000000100000001000000DB -S3154000FBE001000000010000000100000001000000CB -S3154000FBF001000000010000000100000001000000BB -S3154000FC0001000000010000000100000001000000AA -S3154000FC10010000000100000001000000010000009A -S3154000FC20010000000100000001000000010000008A -S3154000FC30010000000100000001000000010000007A -S3154000FC40010000000100000001000000010000006A -S3154000FC50010000000100000001000000010000005A -S3154000FC60010000000100000001000000010000004A -S3154000FC70010000000100000001000000010000003A -S3154000FC80010000000100000001000000010000002A -S3154000FC90010000000100000001000000010000001A -S3154000FCA0010000000100000001000000010000000A -S3154000FCB001000000010000000100000001000000FA -S3154000FCC001000000010000000100000001000000EA -S3154000FCD001000000010000000100000001000000DA -S3154000FCE001000000010000000100000001000000CA -S3154000FCF001000000010000000100000001000000BA -S3154000FD0001000000010000000100000001000000A9 -S3154000FD100100000001000000010000000100000099 -S3154000FD200100000001000000010000000100000089 -S3154000FD300100000001000000010000000100000079 -S3154000FD400100000001000000010000000100000069 -S3154000FD500100000001000000010000000100000059 -S3154000FD600100000001000000010000000100000049 -S3154000FD700100000001000000010000000100000039 -S3154000FD800100000001000000010000000100000029 -S3154000FD900100000001000000010000000100000019 -S3154000FDA00100000001000000010000000100000009 -S3154000FDB001000000010000000100000001000000F9 -S3154000FDC001000000010000000100000001000000E9 -S3154000FDD001000000010000000100000001000000D9 -S3154000FDE001000000010000000100000001000000C9 -S3154000FDF001000000010000000100000001000000B9 -S3154000FE0001000000010000000100000001000000A8 -S3154000FE100100000001000000010000000100000098 -S3154000FE200100000001000000010000000100000088 -S3154000FE300100000001000000010000000100000078 -S3154000FE400100000001000000010000000100000068 -S3154000FE500100000001000000010000000100000058 -S3154000FE600100000001000000010000000100000048 -S3154000FE700100000001000000010000000100000038 -S3154000FE800100000001000000010000000100000028 -S3154000FE900100000001000000010000000100000018 -S3154000FEA00100000001000000010000000100000008 -S3154000FEB001000000010000000100000001000000F8 -S3154000FEC001000000010000000100000001000000E8 -S3154000FED001000000010000000100000001000000D8 -S3154000FEE001000000010000000100000001000000C8 -S3154000FEF001000000010000000100000001000000B8 -S3154000FF0001000000010000000100000001000000A7 -S3154000FF100100000001000000010000000100000097 -S3154000FF200100000001000000010000000100000087 -S3154000FF300100000001000000010000000100000077 -S3154000FF400100000001000000010000000100000067 -S3154000FF500100000001000000010000000100000057 -S3154000FF600100000001000000010000000100000047 -S3154000FF700100000001000000010000000100000037 -S3154000FF800100000001000000010000000100000027 -S3154000FF900100000001000000010000000100000017 -S3154000FFA00100000001000000010000000100000007 -S3154000FFB001000000010000000100000001000000F7 -S3154000FFC001000000010000000100000001000000E7 -S3154000FFD001000000010000000100000001000000D7 -S3154000FFE001000000010000000100000001000000C7 -S3154000FFF001000000010000000100000001000000B7 -S315400100009DE3BF987FFFC4741100403080A2200059 -S31540010010128000F382102001C226200CC026200443 -S31540010020C0260000C026200882102002C2262008D1 -S31540010030C0260000C026000082102003C2262008E8 -S315400100409A102083DA262008A2102063C0260000D9 -S31540010050A2847FFF1CBFFFFE01000000A2102063A7 -S31540010060C2060000A2847FFF1CBFFFFE8210200251 -S31540010070C2262008A4062004C28480208088600409 -S3154001008002BFFFFE01000000C0262008C026000076 -S31540010090A6102001C2848020833860148208603F04 -S315400100A080A0600122800093A604E0018210200313 -S315400100B0C2262008C2848020808860010280000711 -S315400100C080886004C2860020C284802080886001C6 -S315400100D012BFFFFD8088600402BFFFFB808860027B -S315400100E002BFFFF901000000C0262008C0262004F7 -S315400100F080A4E0010480000821100080C284802091 -S315400101008208608080A06001028000AE010000008C -S3154001011021100080DA4C20F0DA260000C2848020CB -S315400101208208600480A060010280009A01000000FC -S31540010130C284802080A4E001048000208210200136 -S31540010140A210200180A440131680000D2B100080C0 -S31540010150A81420F0E00560E8901000114000247CCE -S3154001016092100010C24D0008A2046001C226000090 -S3154001017080A4401306BFFFFA90100011C28480206C -S315400101808208608080A06001028000920100000028 -S31540010190C2848020833860148208603F80A0401367 -S315400101A002800004010000007FFFC4159010200664 -S315400101B0C284802082102001C226200CDA8480204D -S315400101C0808B60011280006801000000821020834C -S315400101D0C226200880A4E0010280005201000000EE -S315400101E0C28480208088640002BFFFFE01000000B7 -S315400101F0C284802080886001028000530100000093 -S3154001020080A4E00124800012A2102000C284802034 -S315400102108330601A80A04013028000040100000070 -S315400102207FFFC3F790102009C28480208088610037 -S315400102300280005501000000C2848020808864004D -S315400102400280004D01000000A210200080A440134E -S315400102501680001203100080A81060F02B10008059 -S31540010260E08600209010001140002439D20560E854 -S31540010270C24D0008A204600180A040100280000423 -S315400102809010200C7FFFC3DE0100000080A44013C4 -S3154001029006BFFFF40100000080A4E0010480000FC6 -S315400102A001000000C28480208088610012800042E3 -S315400102B001000000C28480208330601A80A0600063 -S315400102C01280003A01000000C284802080886400C8 -S315400102D01280003301000000C284802080886001C2 -S315400102E01280001D01000000C02620083080003C1D -S315400102F0C0260000C2848020833860148208603F93 -S3154001030080A0401322BFFFFBA604E00180A4E001C8 -S3154001031034BFFF67A604FFFF10BFFF6682102003AC -S31540010320C28480208088600112BFFFB201000000B4 -S31540010330C28480208088600102BFFFFA010000006C -S3154001034030BFFFAC7FFFC3AE9010200810BFFFAE99 -S3154001035080A4E0017FFFC3AA9010200CC02620088C -S315400103603080001F7FFFC3A69010200710BFFF9962 -S31540010370821020837FFFC3A29010200B10BFFFB4D1 -S31540010380A21020007FFFC39E9010200A30BFFFAB12 -S315400103907FFFC39B9010200130BFFF667FFFC3984C -S315400103A09010200B30BFFFCD7FFFC3959010200EDC -S315400103B030BFFFC67FFFC3929010200D30BFFFBEF6 -S315400103C07FFFC38F9010200410BFFF532110008080 -S315400103D07FFFC38B9010200530BFFF6E81C7E008B9 -S315400103E091E8200017100143DA02E08090022010C4 -S315400103F09B2B60029812E080D023000DC202E08060 -S3154001040082006001C222E08081C3E0080100000051 -S31540010410C0220000C022204082103FFFC222200C91 -S315400104209A10200103100143DA20608081C3E0085D -S31540010430010000009DE3BF7803100144F02060CC29 -S315400104407FFFC365110040347FFFFFF29010001813 -S31540010450A010200123100040921000104000299165 -S31540010460901463E4A004200180A4200F04BFFFFC84 -S3154001047092100010C20620208330601CAE0060013D -S31540010480AC10200080A58017168000EC0300003FC9 -S31540010490B81063FE2B1001430300002AB61062AA6E -S315400104A0BA102001B410001CB2156080832DA00C37 -S315400104B080A5E00114800118A600401880A5A0007F -S315400104C01280010901000000F824E008C204E00896 -S315400104D080A0401C02800004010000007FFFC34849 -S315400104E090102001F824E040C204E04080A0401C66 -S315400104F002800004010000007FFFC34190102002EA -S31540010500C204E00880A0600012BFFFFE01000000A7 -S31540010510C205608080A0601002800005251001435D -S315400105207FFFC3379010200325100143A0102001FF -S31540010530A414A080A2102020832C20029A244010CB -S31540010540D8048001A004200180A3000D028000048C -S31540010550901020047FFFC32A0100000080A4200FD1 -S3154001056004BFFFF7832C2002FA256080F624C000E1 -S31540010570F424E040C204C00080A0401B0280000475 -S31540010580A010001A7FFFC31E90102005C204E04050 -S3154001059080A0401002800004010000007FFFC318C4 -S315400105A090102005F424E008C204E00880A0600011 -S315400105B012BFFFFE01000000C205608080A06010EE -S315400105C002800005251001437FFFC30D90102006D0 -S315400105D025100143A0102001A414A080A210201FC1 -S315400105E09B2C2002C204800D80A04011A004200152 -S315400105F0A2047FFE02800004901020077FFFC30003 -S315400106000100000080A4200704BFFFF79B2C2002B5 -S31540010610A4066028A210201CA0102005C204800058 -S3154001062080A04011A404A004A2047FFE028000041D -S31540010630901020087FFFC2F201000000A0843FFF16 -S315400106401CBFFFF701000000FA27BFE8C207BFE859 -S3154001065082006001C227BFECDA07BFEC9A03600152 -S31540010660DA27BFF0C207BFF082006001C227BFF49C -S315400106709A102006DA27BFD8C024C000C024E04023 -S31540010680FA256080A0102002E024E040E024E00842 -S31540010690834440008088610002800005010000001B -S315400106A0805000018050000180500001C207BFD830 -S315400106B0C227BFDCDA07BFD880A3600602800004E8 -S315400106C0010000007FFFC2CE9010200AE024E0081E -S315400106D001000000C207BFD8C227BFDCDA07BFD876 -S315400106E080A3600602800004010000007FFFC2C4AF -S315400106F09010200AE024E00801000000C027BFDC7A -S31540010700C207BFDC80A06000128000950100000096 -S31540010710C204E00880A0600012BFFFFE0100000095 -S31540010720C205608080A06004028000052100003F70 -S315400107307FFFC2B39010200D2100003FC024E0404E -S31540010740A01423FE9A10200103100143E024E0087F -S31540010750DA206080400003E801000000400003E821 -S3154001076090122F00E024E040C204E04080A06000E7 -S3154001077002BFFFFE01000000C205608080A060024A -S3154001078002800004A01560807FFFC29D9010200E5C -S31540010790C204200480A0601F028000040100000002 -S315400107A07FFFC2979010200F400003D3A81000107E -S315400107B0400003D390023F00A0102002A410202045 -S315400107C0400003CD01000000400003CD90023F00F0 -S315400107D0C2056080A204200180A04011028000046D -S315400107E0901020107FFFC28601000000832C20025A -S315400107F09A248010D8050001A010001180A3000D95 -S3154001080002800004901020117FFFC27D010000008C -S3154001081080A4200F04BFFFEB01000000C024E0408C -S31540010820AC05A00182103FFFC224E00C80A58017D1 -S3154001083006BFFF20832DA00C80A5E0010480004B5C -S3154001084001000000C0262024C206202480A06000AA -S315400108501280003F0100000021040000E026202410 -S31540010860C206202480A0401002800005821020018B -S315400108707FFFC2639010201482102001C2262020DF -S31540010880C0262024C206202480A0401002800004F5 -S31540010890010000007FFFC25A90102015C02620207B -S315400108A0C0262024C206202480A060001280002495 -S315400108B00100000082102002C2262020DA062020F4 -S315400108C0808B60020280001B01000000C0262020B0 -S315400108D0C2062020808860020280002401000000B8 -S315400108E0308000117FFFFECB901000139B2DA01C82 -S315400108F0DA24E024C204E0248330601C80A0401640 -S3154001090002BFFEF2010000007FFFC23D901020129F -S3154001091030BFFEEE7FFFC2359010001610BFFEE9D4 -S3154001092080A5A0007FFFC236901020183080000FAE -S315400109307FFFC2339010201730BFFFE57FFFC230E3 -S315400109409010201610BFFFDD821020027FFFC22CBF -S315400109509010201310BFFFC2210400007FFFC22860 -S315400109609010200B30BFFF6B81C7E00891E8200053 -S3154001097003100143DA0060C8C023600881C3E00860 -S31540010980901020009DE3BF902F100144E205E0CC7A -S31540010990A004610027100143C2042004F024E0C8EA -S315400109A07FFFC2129010201003018000DA04200458 -S315400109B082106020808B40011280010D01000000F1 -S315400109C0DA046100DA27BFF0C204610080A3400166 -S315400109D002800005821020017FFFC209901020028B -S315400109E082102001C2242004DA046100DA27BFF014 -S315400109F0C204610080A34001028001200100000081 -S31540010A00C0242004DA046100DA27BFF0C204610081 -S31540010A1080A340010280000580A720007FFFC1F826 -S31540010A209010200480A72000128000B780A72001E3 -S31540010A30B010200180A6001A16800017A8102001C8 -S31540010A40108000052510004280A6001A168000136A -S31540010A50DA04E0C8A206C0189014A17092100011E1 -S31540010A6080A4601F14BFFFF9B00620014000280D85 -S31540010A7001000000D805E0CCC20320409B2D0011A7 -S31540010A808210400DC223204080A6001A06BFFFF304 -S31540010A90A206C018DA04E0C89606A003C023600483 -S31540010AA0833AE01FC023601098103FFF8330601ED9 -S31540010AB0AA02C001D8236014D823600CA2102000DA -S31540010AC0AD3D600280A720000280008AA810200167 -S31540010AD080A4401C168000ECC204E0C880A7200117 -S31540010AE004800015B010200080A5A00004800013EA -S31540010AF080A0001C9B2C6010832C60188210400D36 -S31540010B00992C60088210400C82104011993D600278 -S31540010B10DA04E0C8C2236020B006200180A7200184 -S31540010B20048000059A03600480A3001814BFFFFAED -S31540010B300100000080A0001CB0603FFF80A6001AA3 -S31540010B4016800016C204E0C82501800080A7200057 -S31540010B50028000058206C01880A720010280008419 -S31540010B608206C011C2242004D804E0C8832D00188F -S31540010B709B3E4018C2232008808B6001128000856D -S31540010B809A10000CB006200180A6001A06BFFFF19C -S31540010B9080A72000C204E0C8C0206008808E6001A2 -S31540010BA012800009B0102000B006200180A6201F47 -S31540010BB014800005833E40188088600122BFFFFCF7 -S31540010BC0B006200180A72000028000068206C018D8 -S31540010BD080A72001028000048216E0208206C0110F -S31540010BE082106020C2242004DA04E0C8832D001854 -S31540010BF0C2236008C203600880A0600012BFFFFEE6 -S31540010C0001000000C2042004808860200280009810 -S31540010C1001000000DA04200403010000808B40013A -S31540010C200280009001000000DA04200403008000E5 -S31540010C30808B40010280008801000000C204200828 -S31540010C40C227BFF0DA04200CDA27BFF4C204E0C899 -S31540010C50992D0018D82060089A100001C203600837 -S31540010C6080A0600012BFFFFE01000000C204200404 -S31540010C70808860200280007501000000DA042004AB -S31540010C8003010000808B40010280006D01000000DD -S31540010C90DA04200403008000808B40010280006555 -S31540010CA001000000DA042008C207BFF080A0400D11 -S31540010CB002800004010000007FFFC1519010200E08 -S31540010CC0DA04200CC207BFF480A0400D0280000563 -S31540010CD0030180007FFFC14A9010200F030180006D -S31540010CE0C224200480A7200012BFFF7AA20460011B -S31540010CF080A4600004BFFF7B80A7200110800062B2 -S31540010D00C204E0C80280003D11100042B01020002C -S31540010D1080A6001C16BFFF60A810200110800005A8 -S31540010D202510004280A6001C16BFFF5CDA04E0C80D -S31540010D30A206C0189014A1709210001180A4601FE1 -S31540010D4014BFFFF9B00620014000275601000000FC -S31540010D50D805E0CCC20320409B2D00118210400DE6 -S31540010D60C223204010BFFFF180A6001CF6242004B8 -S31540010D70D804E0C8832D00189B3E4018C2232008A2 -S31540010D80808B600122BFFF81B00620019A10000CC2 -S31540010D90C203600880A0600012BFFFFE0100000090 -S31540010DA0DA04200403010000808B40010280001E0A -S31540010DB001000000DA04200403008000808B40011A -S31540010DC00280001601000000E4242004C20420042D -S31540010DD08088401222BFFF6DB00620017FFFC10807 -S31540010DE09010200710BFFF69B00620017FFFC104A4 -S31540010DF09010200130BFFEF3901221704000272948 -S31540010E009210001BDA05E0CC832F001BC223604001 -S31540010E1010BFFF22DA04E0C87FFFC0F99010200618 -S31540010E2030BFFFEA7FFFC0F69010200530BFFFE2DA -S31540010E307FFFC0F39010200D30BFFF9B7FFFC0F0B6 -S31540010E409010200C30BFFF937FFFC0ED9010200B18 -S31540010E5030BFFF8B7FFFC0EA9010200A30BFFF787A -S31540010E607FFFC0E79010200930BFFF707FFFC0E4CD -S31540010E709010200830BFFF687FFFC0E1901020032B -S31540010E8030BFFEE0C020600CDA05E0CCC0236040F4 -S31540010E9082103FFFC223600C81C7E00891E8200021 -S31540010EA09DE3BF983B100144E60760CC7FFFC0CF6E -S31540010EB090102011C024E01CC204E01C80A06000F8 -S31540010EC00280004801000000C204E01C8330601B20 -S31540010ED080A0401A0A80003F01000000A4102000B3 -S31540010EE080A4801A1A8000422D100143AA102001C5 -S31540010EF0AE15A080A32CA002E00640117FFFFD4560 -S31540010F0090100013A804A0019B2D4012832D2010A0 -S31540010F108210400DC224E01C9A103FFFDA24E040C3 -S31540010F20D80600119A10201FDA232004A0043FFF9F -S31540010F30DA230000A12C20048204000C9A1020051B -S31540010F40DA206010C0206014EA2060189A1000016F -S31540010F50C203601080A0600012BFFFFE01000000C6 -S31540010F60A004000CC204201080A0600002BFFFFE56 -S31540010F7001000000C0242018C205A08080A06002A4 -S31540010F80028000069A04A011900480127FFFC09C43 -S31540010F90900220039A04A011C205E00480A0400DEE -S31540010FA022800006A4100014900480127FFFC09492 -S31540010FB090022004A4100014C024E01C80A5001A4D -S31540010FC00ABFFFCEA32CA0021080000ADA0760CC2C -S31540010FD07FFFC08B9010200210BFFFC2A4102000DB -S31540010FE07FFFC0879010200130BFFFB8DA0760CC81 -S31540010FF0C023604082103FFFC223600C81C7E008D6 -S3154001100091E820009DE3BF987FFFC08C010000005E -S3154001101080A220000280002680A62000128000299E -S31540011020010000007FFFC08501000000912A2004D5 -S315400110307FFFC06E9002200340001870010000003F -S3154001104080A220001280002B010000004000182DD4 -S3154001105001000000400017E60100000040000228A0 -S315400110600100000080A6A0001280002D031001445B -S315400110707FFFC072B41060D0912A2002C206800858 -S3154001108080A060001280003080A6200012800039C6 -S31540011090010000004000048F0100000040001E05D1 -S315400110A001000000400015C281E800007FFFC04AF0 -S315400110B01100400C80A6200002BFFFDB01000000AA -S315400110C07FFFC0F6901000197FFFC05C0100000051 -S315400110D0912A20047FFFC045900220034000184713 -S315400110E00100000080A2200002BFFFD901000000DC -S315400110F07FFFC0439010200140001802010000000C -S31540011100400017BB01000000400001FD0100000046 -S3154001111080A6A00002BFFFD70310014440001DF086 -S3154001112001000000031001447FFFC044B41060D0A9 -S31540011130912A2002C206800880A0600002BFFFD427 -S3154001114080A620007FFFC03D010000007FFFC03B1D -S31540011150A0100008912A2002C20680089FC04000C4 -S315400111609010001080A6200002BFFFCB01000000B6 -S315400111707FFFC0DA901000194000045601000000BC -S3154001118040001DCC010000004000158981E80000A7 -S315400111900100000003100143DA0060CC9A036001AC -S315400111A0DA2060CC81C3E008010000009DE3BF98CE -S315400111B07FFFC00911004044D806200882103FFF36 -S315400111C0C2262004DA060000C206000080A34001C0 -S315400111D00280006FA60B20078210201FC226200422 -S315400111E0C226000080A4E00002800033821000186D -S315400111F0A2100013C0206018A2847FFF12BFFFFE19 -S3154001120082006010A210200080A440131680002B9B -S3154001121080A4E001A810200FA01000187FFFBFF3A3 -S3154001122090100011C0242010E824201482102006BA -S31540011230C2242018DA04201080A3600F0280000423 -S31540011240010000007FFFBFEE90102003E824201824 -S315400112509A10200EC204201080A0400D12BFFFFE3E -S31540011260010000009A837FFF1CBFFFFBA410001002 -S31540011270C204201080A0600F12BFFFFE01000000D3 -S31540011280C204201880886010028000358210201028 -S31540011290C224A018DA042018808B6010128000380E -S315400112A001000000A204600180A4401306BFFFDCD8 -S315400112B0A004201080A4E0011480001080A4E00066 -S315400112C00280000782100018A2100013C020601887 -S315400112D0A2847FFF12BFFFFE8200601021100144ED -S315400112E0C20420CC80A060000280002D1110004471 -S315400112F01080000D921000197FFFBFBC901020088E -S315400113008210200FC22620189A10202FDA26202874 -S31540011310C206202080A0600D12BFFFFE80A4E0001F -S3154001132030BFFFE8400025DF901221947FFFFC3952 -S31540011330D00420CC8210200183284019DA0420CC25 -S31540011340C22360409810200FD82620148210200D09 -S31540011350C2262018A7800000308000117FFFBFA859 -S315400113609010200482102010C224A018DA042018FC -S31540011370808B601022BFFFCDA20460017FFFBFA01A -S315400113809010200510BFFFC9A20460017FFFBF9CDA -S315400113909010200110BFFF928210201F81C7E008E4 -S315400113A081E800000000000000000000000000008D -S315400113B000000000000000000000000000000000E6 -S315400113C001000000010000000100000001000000D2 -S315400113D0010000000100000081C3E0080100000097 -S315400113E001000000010000000100000001000000B2 -S315400113F0010000000100000081C3E0080100000077 -S315400114000100000001000000010000000100000091 -S31540011410010000000100000081C3E0080100000056 -S315400114200100000001000000010000000100000071 -S31540011430010000000100000081C3E0080100000036 -S31540011440D482018090A2000916BFFFFE9612800B3E -S3154001145081C3E0089010000BD48201C090A200091C -S3154001146016BFFFFE9612800B81C3E0089010000B59 -S3154001147090A22004C0A201A090A22004C0A201A073 -S3154001148090A22004C0A201A090A22004C0A201A063 -S31540011490C0A2018090A2200414BFFFF70100000002 -S315400114A081C3E0080100000090A22004C0A201E02F -S315400114B090A22004C0A201E090A22004C0A201E0B3 -S315400114C090A22004C0A201E0C0A201C090A22004C3 -S315400114D014BFFFF70100000081C3E00801000000CE -S315400114E0981000089610000A98A3200814BFFFFF21 -S315400114F0D43B00099810000898A3200814BFFFFFA9 -S31540011500C01B00099810000898A32004D6030009BF -S3154001151080A2C00A1280000698A3200434BFFFFDB2 -S31540011520D603000981C3E0089010200090102001E5 -S31540011530981000089610000A98A3200814BFFFFFD0 -S31540011540D43B00099810000898A32004D60300094B -S3154001155080A2C00A1280000698A3200434BFFFFD72 -S31540011560D603000981C3E0089010200090102001A5 -S315400115709810000898A32004D2A301A0DA8301A001 -S3154001158080A340091280000698A3200414BFFFFCE3 -S31540011590D2A301A081C3E008901020009010200141 -S315400115A09A1000089AA3400AD6A34180D883418065 -S315400115B0981B000B988B0009128000069AA3400ADB -S315400115C014BFFFFBD6A3418081C3E00890102000E1 -S315400115D0901020019A1000089AA3400BD8A241CD41 -S315400115E0C48241CD8418800C8488800A128000060A -S315400115F09AA3400B14BFFFFBD8A241CD81C3E0089B -S315400116009010200090102001010000000100000010 -S31540011610010000001310008092126138D40240008C -S3154001162080A2A0011280000780A0A002D40240003F -S31540011630D4024000952AA002108000050100000056 -S315400116403280000381E80000D402400081E00000BE -S3154001165093480000818A602023100045A2146278D5 -S31540011660A40460040100000081C4400081CC8000D4 -S3154001167091D0200191D020012680000590002001C3 -S3154001168090222001912A2001912A200281C3E0085B -S315400116900100000081C3E008D082004081C3E00818 -S315400116A0D2A2004081C3E008D082018081C3E00814 -S315400116B0D2A2018081C3E008D08201A081C3E008A3 -S315400116C0D2A201A081C3E008D08201C081C3E00853 -S315400116D0D2A201C081C3E008D08201E081C3E00803 -S315400116E0D2A201E081C3E008D2A2000081C3E00892 -S315400116F0D082000081C3E00891480000818A000041 -S3154001170001000000010000000100000081C3E00863 -S315400117100100000081C3E008C0A000A081C3E00829 -S31540011720C0A000C081C3E008D01A0000010000003B -S31540011730010000000100000001000000010000005E -S315400117409DE3BF701310006DCD1A6170CD3FBFE0B0 -S31540011750111000801B100080C91B61E0C51A21D8F9 -S31540011760C11FBFE095A088C4D53FBFF0D91FBFF0C8 -S3154001177003100080D11861E881AB0A4801000000DE -S315400117800380002BC13FBFD8F91FBFD8B5A0055C68 -S31540011790F53FBFF0ED1FBFF0F11FBFF0A5A589587A -S315400117A0E91FBFE0A1A488D41510006DA1A00130A6 -S315400117B0DD1AA17881AC0ACE010000000D80002D12 -S315400117C0F53FBFD0C51FBFD083A018C291A0492104 -S315400117D099A01928D51FBFE091A308CA1710006D1B -S315400117E091A00128FD1AE18081AA0ADE01000000CC -S315400117F00D80002F01000000400001AE01000000F5 -S315400118004000020C0100000080A22000128000313D -S3154001181001000000400002610100000080A220009A -S315400118200280003501000000308000317FFFBE7428 -S3154001183090102001F91FBFD8B5A0055CF53FBFF058 -S31540011840ED1FBFF0F11FBFF0A5A58958E91FBFE005 -S31540011850A1A488D41510006DA1A00130DD1AA1788C -S3154001186081AC0ACE010000001BBFFFD7F53FBFD0B8 -S315400118707FFFBE6390102002C51FBFD083A018C250 -S3154001188091A0492199A01928D51FBFE091A308CA63 -S315400118901710006D91A00128FD1AE18081AA0ADE88 -S315400118A0010000001BBFFFD5010000007FFFBE54B1 -S315400118B0901020034000017F01000000400001DD3F -S315400118C00100000080A2200002BFFFD301000000FA -S315400118D07FFFBE4B010000004000023001000000C6 -S315400118E080A2200002800004010000007FFFBE4468 -S315400118F09010200581C7E00881E800009DE3BF986C -S315400119007FFFFF7D210000047FFFFF7D90120010C5 -S315400119107FFFFF79B0102000808A00100280000B03 -S315400119209010200040000267010000007FFFBE4387 -S3154001193001000000912A20047FFFBE2C900220085E -S315400119407FFFFF800100000081C7E00881E80000B9 -S31540011950191000809813217811100200921020006E -S31540011960150FF76C9412A3D7D03B0000D42320085F -S31540011970C11B0000C503200887A089220100000081 -S3154001198089A005408DA0892281A8CA2601000000B0 -S3154001199033800003901020009010200181C3E0089D -S315400119A001000000C11A0000C51A400089A0084282 -S315400119B081C3E008C93A8000C11A0000C51A400037 -S315400119C089A0094281C3E008C93A80001910008004 -S315400119D098132178D0230000D2232008C1030000A8 -S315400119E0C303200885A00D2181C3E008C53A8000C4 -S315400119F0C11A0000C51A400089A009C2C93A80002F -S31540011A0081C3E00801000000C11A000085A005401D -S31540011A10C53A400081C3E008010000000100000012 -S31540011A20010000000100000001000000010000006B -S31540011A30010000000100000001000000010000005B -S31540011A40010000000100000001000000010000004B -S31540011A50010000000100000001000000010000003B -S31540011A60010000000100000001000000010000002B -S31540011A70010000000100000001000000010000001B -S31540011A80010000000100000001000000010000000B -S31540011A9001000000010000000100000081A00020BB -S31540011AA081C3E00801000000C11A000081C3E008BB -S31540011AB001000000C51A000089A009C2C93A4000C8 -S31540011AC081C3E00801000000131000809212617882 -S31540011AD0D0224000C102400085A01900C53A40000D -S31540011AE081C3E008D01A4000131000809212617839 -S31540011AF0D0224000C102400085A01880C522400086 -S31540011B0081C3E008D0024000151000809412A178EC -S31540011B10D03A8000C11A800085A01A40C5228000B3 -S31540011B2081C3E008D0028000151000809412A1788C -S31540011B30D0228000C102800085A01A20C5228000E3 -S31540011B4081C3E008D0028000151000809412A1786C -S31540011B50D0228000C102800081A01920C13A8000B4 -S31540011B6081C3E008D01A8000151000809412A17834 -S31540011B70D03A8000C11A800081A018C0C1228000DD -S31540011B8081C3E008D0028000151000809412A1782C -S31540011B90D0228000CB0280008DA00025CD2280007E -S31540011BA081C3E008D0028000151000809412A1780C -S31540011BB0D0228000CB0280008DA000A5CD228000DE -S31540011BC081C3E008D0028000151000809412A178EC -S31540011BD0D0228000CB0280008DA00125CD2280003D -S31540011BE081C3E008D0028000191000809813217843 -S31540011BF0D03B0000D43B2008C11B0000C51B200878 -S31540011C0081A80A420100000033800009901020009B -S31540011C1029800007901020012D8000059010200298 -S31540011C202F8000039010200391D0200081C3E0084B -S31540011C30010000001910008098132178D03B000064 -S31540011C40D43B2008C11B0000C51B200881A80AC23D -S31540011C500100000033BFFFF69010200029BFFFF4BA -S31540011C60901020012DBFFFF2901020022FBFFFF0F0 -S31540011C709010200391D020001910008098132178EC -S31540011C80D0230000D2232008C1030000C30320084B -S31540011C9081A80A210100000033BFFFE59010200012 -S31540011CA029BFFFE3901020012DBFFFE190102002D4 -S31540011CB02FBFFFDF9010200391D020001910008024 -S31540011CC098132178D0230000D2232008C1030000B5 -S31540011CD0C303200881A80AA10100000033BFFFD435 -S31540011CE09010200029BFFFD2901020012DBFFFD0B8 -S31540011CF0901020022FBFFFCE9010200391D02000DC -S31540011D001910008098132178D03B0000D43B20085D -S31540011D10C11B0000C51B200889A008C2C93B0000A1 -S31540011D2081C3E008D01B0000191000809813217868 -S31540011D30D0230000D2232008C1030000C30320089A -S31540011D4085A00821C523000081C3E008D003000017 -S31540011D501910008098132178D0230000D22320083F -S31540011D60C1030000C303200885A008A1C5230000C4 -S31540011D7081C3E008D0030000191000809813217830 -S31540011D80D0230000D2232008C1030000C30320084A -S31540011D9085A00921C523000081C3E008D0030000C6 -S31540011DA01910008098132178D0230000D2232008EF -S31540011DB0C1030000C303200885A009A1C523000073 -S31540011DC081C3E008D00300001910008098132178E0 -S31540011DD0D0230000C103000083A00520C3230000D7 -S31540011DE081C3E008D0030000131000809212619075 -S31540011DF0C51A6008C11A400089A0084091A108C2CD -S31540011E0095A209C495A2894281C3E008D53A00004A -S31540011E1013100080921261B0C1024000C3026004F7 -S31540011E2085A0082087A088A189A0C9A289A10921E6 -S31540011E3081C3E008C92200009610200213100080D9 -S31540011E4092126190151000809412A190D502400023 -S31540011E50D7028000D5220000D8020000131000806E -S31540011E60921261B096A2E00112BFFFF90100000093 -S31540011E7081C3E008010000001310008092126190B6 -S31540011E80151000809412A1B0C1028000C51A6010DD -S31540011E9083A0082089A088C08BA109A18DA10942F0 -S31540011EA08FA1492691A0054681C3E008D13A000099 -S31540011EB01110008090122188C11A0000C51A000035 -S31540011EC0C91A0000CD1A0000D11A0000D51A000027 -S31540011ED0D91A0000DD1A0000E11A0000E51A0000D7 -S31540011EE0E91A0000ED1A0000F11A0000F51A000087 -S31540011EF0F91A0000FD1A000081C3E0080100000044 -S31540011F0029100080A815215827100080A614E1C089 -S31540011F10C12CC000E604C000A134E00EA00C20078D -S31540011F20A0A42002AE1020002D100080AC15A1C047 -S31540011F30AE05E001AC05A008C1358000C12D000009 -S31540011F40EA050000AB35600DAA8D600112BFFFF9AD -S31540011F5001000000808000100280002F2B3C1FFFF3 -S31540011F60AA1563FFA60CC015E6250000C10D0000A9 -S31540011F702B100080AA1561CC2D100047AC15A3E0AB -S31540011F80AE25E001E0054000E025800081D8200033 -S31540011F9001000000010000000100000001000000F6 -S31540011FA001000000010000000100000001000000E6 -S31540011FB001000000010000000100000001000000D6 -S31540011FC001000000010000000100000001000000C6 -S31540011FD001000000010000000100000001000000B6 -S31540011FE00000000080A5C00012BFFFE6AA056008F8 -S31540011FF0C12D0000E60500002B03C000A614C01544 -S31540012000E6250000C10D000081C4400081CC80005E -S315400120100100000081C4800081CCA00401000000C1 -S315400120200100000081C3E008915800000100000052 -S315400120301110008090122158C10A0000C0220000F0 -S31540012040C10A0000C12A0000D40200001300038027 -S31540012050942A800980A0000A3280004D9010200306 -S315400120601303E000D223BFA0C023BFA4C10BBFA06E -S31540012070C023BFA0151000809412A170C102800038 -S315400120800100000001000000C10BBFA0C10BBFA4AD -S3154001209083A00520C12BBFA0D003BFA0808A220008 -S315400120A02280003B901020049010200015100080E3 -S315400120B09412A140C5028000C902A008D102A00C19 -S315400120C01310008092126170C70240008DA08944AE -S315400120D081A98AC8010000000380000501000000B3 -S315400120E0901020011080002A01000000C5028000E6 -S315400120F0C902A008D102A00C13100080921261708F -S31540012100CB0240008DA0894481A98AC80100000004 -S315400121100380000501000000901020011080001C82 -S315400121200100000025100080A414A168C11C800094 -S31540012130C51C800080A000003280000685A008C032 -S3154001214081A80AC2010000001380000301000000BB -S31540012150901020050100000025100080A414A168FC -S31540012160C11C8000C51C800080A000000100000049 -S315400121703280000685A008C081A80AC2010000007D -S3154001218013800003010000009010200701000000A9 -S3154001219081C3E00801000000901020019544000031 -S315400121A09532A01E940AA00380A2800002800040BE -S315400121B09010200080A2A0030280003D13100080F1 -S315400121C0921261F0C11A4000C51A6008FD026018FA -S315400121D095A0003E99A0003E9DA0003E170000C07C -S315400121E09612E078A182C0000100000001000000C3 -S315400121F00100000001000000010000000100000094 -S3154001220081A0002083A0002195A0002A99A0002C3E -S315400122109DA0002E170000C09612E07CA182C0004E -S315400122200100000001000000010000000100000063 -S31540012230010000000100000085A0002287A00023C4 -S31540012240A180000001000000010000000100000023 -S3154001225001000000010000000100000089A00842C1 -S31540012260A9A2883ED93A4000DD224000CD1A60102D -S31540012270D102600881A90A46010000000380000CD2 -S3154001228081AD0A2801000000038000099344000043 -S315400122909332601B920A60079010200080A2A00131 -S315400122A002800003902260079022600481C3E00807 -S315400122B001000000C12BBFA081C3E008D003BFA02D -S315400122C0D023BFA081C3E008C10BBFA0010000001D -S315400122D09DE3BF6040001B19B0102000913A200ACF -S315400122E0900A200380A220011280111101000000F2 -S315400122F07FFFBBBE9010200D190C40291B23CD1B1F -S315400123009410200096102000981320069A13609B83 -S31540012310D43FBFE0D43FBFC0D43FBFE87FFFFF42B9 -S31540012320D83FBFF0900A3000032804009012208065 -S315400123308210600FC22200001B1000479002200449 -S315400123409A1363009A234008191000009B3B6002D0 -S31540012350032784009A13400C82106010C222200485 -S315400123607FFFFED4DA2200007FFFFFD61103E00093 -S315400123702D100080C025A1C07FFFFDD49010200004 -S31540012380809200091280000601000000C205A1C02A -S3154001239080A0600002800004010000007FFFBB981E -S315400123A09010200B7FFFFDC990103FFA03300600C5 -S315400123B080A200011280000480A2600002800EDB30 -S315400123C0010000007FFFBB8E9010200B7FFFFDBFF9 -S315400123D09010201403100D0080A200011280000409 -S315400123E080A2600002800ECC010000007FFFBB840A -S315400123F09010200B7FFFFDB5901020620310162030 -S3154001240080A200011280000480A2600002800EBDFD -S31540012410010000007FFFBB7A9010200B7FFFFDB3C8 -S31540012420901020050310280080A2000112800006AA -S3154001243001000000C205A1C080A060000280000426 -S31540012440010000007FFFBB6E9010200B7FFFFF9DB8 -S315400124501103C000111C00007FFFFDAC921020004B -S31540012460031FFFFF821063FF80A200011280000A52 -S315400124701B000070C205A1C09A13601F190000100D -S315400124808208400D9813201080A0400C0280000560 -S31540012490113C00007FFFBB5A9010200C113C0000FC -S315400124A07FFFFD9A921020000320000080A20001C8 -S315400124B01280000A1B000070C205A1C09A13601F5A -S315400124C0190000108208400D9813201080A0400C7E -S315400124D002800004010000007FFFBB499010200CE0 -S315400124E0C025A1C0901020007FFFFD8892102000DA -S315400124F080A220001280000601000000C205A1C092 -S3154001250080A0600002800005110144007FFFBB3CB2 -S315400125109010200C11014400132840009012230210 -S315400125207FFFFD7A9212600180A220001280000690 -S3154001253001000000C205A1C080A060002280000504 -S315400125401111FFFF7FFFBB2E9010200C1111FFFFD1 -S315400125507FFFFD76901223FF0300007F821063FF09 -S3154001256080A200011280000601000000C205A1C040 -S3154001257080A06000028000052F1000857FFFBB20F0 -S315400125809010200C2F1000857FFFFD60D01DE0C804 -S31540012590031FFFFF821063FF80A200011280000A21 -S315400125A01B000070C205A1C09A13601F19000010DC -S315400125B08208400D9813201080A0400C028000052F -S315400125C0031000857FFFBB0E9010200C0310008581 -S315400125D0D01860D8C025A1C07FFFFD4C0100000086 -S315400125E00320000080A200011280000A1B00007037 -S315400125F0C205A1C09A13601F190000108208400D40 -S315400126009813201080A0400C028000051910008507 -S315400126107FFFBAFB9010200C19100085C025A1C080 -S315400126207FFFFD3AD01B20B8031FFFFF821063FFD7 -S3154001263080A200011280000A1B000070C205A1C0E1 -S315400126409A13601F190000108208400D981320103C -S3154001265080A0400C02800005331000857FFFBAE858 -S315400126609010200C33100085C025A1C07FFFFD27A7 -S31540012670D01E60F0C205A1C08330600E820860039F -S3154001268080A0600202800004211000807FFFBADC36 -S315400126909010200C7FFFFF0B1103C000111158044D -S315400126A0C02421C07FFFFD299012223403102B0044 -S315400126B08210624680A200011280000503200000BC -S315400126C080A2400102800FC5010000007FFFBACC05 -S315400126D09010200D113C02AF7FFFFD1C901220D1BE -S315400126E0033180558210639A80A200011280000551 -S315400126F00308000080A2400102800FDB01000000B8 -S315400127007FFFBABF9010200D1111FC007FFFFD170E -S3154001271092102000031FE00080A200011280000AEF -S315400127201B000070C205A1C09A13601F190000105A -S315400127308208400D9813200880A0400C02800005B5 -S3154001274011207C017FFFBAAE9010200D11207C0133 -S31540012750C025A1C0901220307FFFFD041300010067 -S315400127600320000080A200011280000A1B000070B5 -S31540012770C205A1C09A13601F190000108208400DBE -S315400127809813200480A0400C028000040100000040 -S315400127907FFFBA9B9010200DC025A1C0901020004C -S315400127A07FFFFCF29210200080A2200012800006DA -S315400127B001000000C205A1C080A0600002800004A3 -S315400127C0010000007FFFBA8E9010200D7FFFFCE7CD -S315400127D0D01DE0C803100085DA0060C080A2000D5C -S315400127E01280000601000000C205A1C080A0600061 -S315400127F0028000051B1000857FFFBA819010200DD5 -S315400128001B1000857FFFFCD9D01B60D0031FE00061 -S3154001281080A200011280000601000000C205A1C08D -S3154001282080A0600002800005031000857FFFBA7416 -S315400128309010200D031000857FFFFCCCD01860B8A6 -S3154001284003100085DA0060A880A2000D1280000AFC -S315400128501B000070C205A1C09A13601F1900001029 -S315400128608208400D9813201080A0400C028000047D -S31540012870010000007FFFBA629010200DC025A1C063 -S315400128807FFFFCBAD01E60F0C205A1C08330600E46 -S315400128908208600380A06002028000042310008049 -S315400128A07FFFBA579010200D7FFFFE861103E0008F -S315400128B02108C6AF901420DEC02461C07FFFFCB35F -S315400128C0A01420DE80A20010128000060100000044 -S315400128D0C20461C080A06000028000052108C6AF25 -S315400128E07FFFBA479010200E2108C6AF7FFFFCB785 -S315400128F0901420DEA01420DE80A200101280000673 -S3154001290001000000C205A1C080A060002280000530 -S315400129101128C6AF7FFFBA3A9010200E1128C6AFD4 -S315400129207FFFFCAA901220DE0308C6AF821060DE4C -S3154001293080A200011280000601000000C205A1C06C -S3154001294080A06000228000051108C6AF7FFFBA2C27 -S315400129509010200E1108C6AF7FFFFC94901220DE26 -S315400129600328C6AF821060DE80A2000112800006F5 -S3154001297001000000C205A1C080A0600022800005C0 -S315400129801128C6AF7FFFBA1E9010200E1128C6AF80 -S315400129907FFFFC86901220DE0308C6AF821060DE00 -S315400129A080A200011280000601000000C205A1C0FC -S315400129B080A0600002800004010000007FFFBA1081 -S315400129C09010200E7FFFFE3F1103E00011151BC042 -S315400129D01310C82115351BC01710C82190122103A9 -S315400129E0921261419412A1037FFFFC809612E1414C -S315400129F080A220021280000601000000C205A1C08B -S31540012A0080A060000280000511351BC07FFFB9FC24 -S31540012A109010200F11351BC01310C82115151BC06E -S31540012A201710C82190122103921261419412A103F9 -S31540012A307FFFFC6E9612E14180A2200112800006C2 -S31540012A4001000000C205A1C080A06000028000050F -S31540012A50901020007FFFB9EA9010200F90102000BF -S31540012A6092102000152000007FFFFC609610200088 -S31540012A7080A220001280000601000000C205A1C00C -S31540012A8080A0600002800005191000857FFFB9DC37 -S31540012A909010200F191000851B100085D01B20D0E7 -S31540012AA07FFFFC52D41B60D880A220021280000610 -S31540012AB001000000C205A1C080A06000028000059F -S31540012AC011151BC07FFFB9CE9010200F11151BC0E9 -S31540012AD01310C82115151BE81710C82190122103A0 -S31540012AE0921261419412A1037FFFFC409612E1418B -S31540012AF080A220011280000601000000C205A1C08B -S31540012B0080A060000280000511151BE87FFFB9BC5B -S31540012B109010200F11151BE81310C82115151BC065 -S31540012B201710C82190122103921261419412A103F8 -S31540012B307FFFFC2E9612E14180A220021280000600 -S31540012B4001000000C205A1C080A06000028000050E -S31540012B5011151BE87FFFB9AA9010200F11151BE82C -S31540012B601310C82190122103921261417FFFFC1F6D -S31540012B70D41DE0C880A22003128000060100000097 -S31540012B80C205A1C080A06000028000050310008537 -S31540012B907FFFB99B9010200F0310008511151BE88C -S31540012BA01310C82190122103921261417FFFFC0F3D -S31540012BB0D41860B880A220031280000A1B0000705E -S31540012BC0C205A1C09A13601F190000108208400D6A -S31540012BD09813201080A0400C0280000511151BE8B7 -S31540012BE07FFFB9879010200F11151BE81310C821DC -S31540012BF0C025A1C090122103921261417FFFFBFBC8 -S31540012C00D41E60F080A2200212800006010000005E -S31540012C10C205A1C080A060000280000515151BE811 -S31540012C207FFFB9779010200F15151BE81710C821A3 -S31540012C30D01E60F09412A1037FFFFBEC9612E14196 -S31540012C4080A220011280000601000000C205A1C039 -S31540012C5080A060000280000515151BE87FFFB9685A -S31540012C609010200F15151BE81710C821D01DE0C87C -S31540012C709412A1037FFFFBDD9612E14180A220035E -S31540012C801280000601000000C205A1C080A06000BC -S31540012C9002800005191000857FFFB9599010200F59 -S31540012CA01910008515151BE81710C821D01B20B82F -S31540012CB09412A1037FFFFBCD9612E14180A220032E -S31540012CC01280000A1B000070C205A1C09A13601F42 -S31540012CD0190000108208400D9813201080A0400C66 -S31540012CE00280000511151BC07FFFB9459010200FCA -S31540012CF011151BC01310C82115351BC01710C8214B -S31540012D00C025A1C090122103921261419412A103E0 -S31540012D107FFFFBC99612E14180A220021280000684 -S31540012D2001000000C205A1C080A06000028000052C -S31540012D3011351BC07FFFB9329010200F11351BC0D2 -S31540012D401310C82115151BC01710C8219012210355 -S31540012D50921261419412A1037FFFFBB79612E141A2 -S31540012D6080A220011280000601000000C205A1C018 -S31540012D7080A0600002800005901020007FFFB920EE -S31540012D809010200F90102000921020001520000076 -S31540012D907FFFFBA99610200080A22000128000062A -S31540012DA001000000C205A1C080A0600002800005AC -S31540012DB01B1000857FFFB9129010200F1B10008554 -S31540012DC003100085D01B60D07FFFFB9BD41860D8D1 -S31540012DD080A220021280000601000000C205A1C0A7 -S31540012DE080A060000280000511151BC07FFFB90459 -S31540012DF09010200F11151BC01310C82115151BE883 -S31540012E001710C82190122103921261419412A10315 -S31540012E107FFFFB899612E14180A2200112800006C4 -S31540012E2001000000C205A1C080A06000028000052B -S31540012E3011151BE87FFFB8F29010200F11151BE802 -S31540012E401310C82115151BC01710C8219012210354 -S31540012E50921261419412A1037FFFFB779612E141E1 -S31540012E6080A220021280000601000000C205A1C016 -S31540012E7080A060000280000511151BE87FFFB8E0C5 -S31540012E809010200F11151BE81310C8219012210331 -S31540012E90921261417FFFFB68D41DE0C880A22003E6 -S31540012EA01280000A1B000070C205A1C09A13601F60 -S31540012EB0190000108208400D9813201080A0400C84 -S31540012EC002800005191000857FFFB8CD9010200FB4 -S31540012ED01910008511151BE81310C8219012210302 -S31540012EE0921261417FFFFB54D41B20B880A220037C -S31540012EF01280000A1B000070C205A1C09A13601F10 -S31540012F00190000108208400D9813201080A0400C33 -S31540012F100280000511151BE87FFFB8B99010200FFC -S31540012F2011151BE81310C821C025A1C09012210319 -S31540012F30921261417FFFFB40D41E60F080A22002C5 -S31540012F401280000601000000C205A1C080A06000F9 -S31540012F500280000515151BE87FFFB8A99010200FC8 -S31540012F6015151BE81710C821D01E60F09412A10355 -S31540012F707FFFFB319612E14180A2200112800006BB -S31540012F8001000000C205A1C080A0600002800005CA -S31540012F9015151BE87FFFB89A9010200F15151BE8F1 -S31540012FA01710C821D01DE0C89412A1037FFFFB2250 -S31540012FB09612E14180A220031280000A1B00007094 -S31540012FC0C205A1C09A13601F190000108208400D66 -S31540012FD09813201080A0400C028000051B1000852C -S31540012FE07FFFB8879010200F1B10008515151BE831 -S31540012FF01710C821D01B60B89412A1037FFFFB0EA6 -S315400130009612E14180A220031280000A1B00007043 -S31540013010C205A1C09A13601F190000108208400D15 -S315400130209813201080A0400C02800005110048EA48 -S315400130307FFFB8739010200F110048EA13048D15D5 -S31540013040C025A1C0901223CD7FFFFB0C921262785E -S3154001305080A220011280000601000000C205A1C025 -S3154001306080A0600002800005110048EA7FFFB86435 -S315400130709010200F110048EA13048D15901223CDAC -S315400130807FFFFB0F9212627880A220011280000618 -S3154001309001000000C205A1C080A0600002800004BA -S315400130A0010000007FFFB8569010200FC025A1C037 -S315400130B07FFFFC841103C000291001449007BFF033 -S315400130C09207BFE87FFFFA3894152120C207BFF067 -S315400130D0DA05212080A0400D1280000782152120AB -S315400130E0DA006004C207BFF480A0400D02800D598A -S315400130F0010000007FFFB842901020103710008574 -S315400131009007BFF09216E0E07FFFFA279415212041 -S31540013110C206E0E0DA05212080A340018215212084 -S31540013120128000079816E0E0DA006004C20320042A -S3154001313080A3400102800D42010000007FFFB830AC -S31540013140901020109007BFF09215E0C87FFFFA1645 -S3154001315094152120C205E0C8DA05212080A340014B -S3154001316082152120128000079815E0C8DA00600414 -S31540013170C203200480A3400102800D2C01000000FF -S315400131807FFFB81F9010201003100085921060B881 -S315400131909007BFF07FFFFA04941521201B000070B1 -S315400131A0C205A1C09A13601F190000108208400D84 -S315400131B09813201080A0400C028000042110014485 -S315400131C07FFFB80F9010201003100080C02061C00F -S315400131D07FFFFC3C901020001310008594142120A1 -S315400131E0921260B87FFFF9F09007BFF03910008561 -S315400131F0C20720B0DA04212080A340019414212083 -S3154001320012800007821720B0DA02A004C2006004CF -S3154001321080A3400102800004010000007FFFB7F84F -S31540013220901020107FFFFC271103C0009007BFF0CC -S31540013230921660F07FFFF9DC94152120C205A1C0EA -S315400132408330600E8208600380A060022110014431 -S3154001325002800004231000807FFFB7E99010201000 -S3154001326094142120C02461C09007BFE87FFFF9CEA6 -S315400132709207BFF0DA042120C207BFF080A34001C4 -S315400132801280000794142120DA02A004C207BFF479 -S3154001329080A3400102800D21010000007FFFB7D8C5 -S315400132A0901020109007BFE89216E0E07FFFF9BE2C -S315400132B094152120C206E0E0DA05212080A34001D1 -S315400132C082152120128000079816E0E0DA0060049A -S315400132D0C203200480A3400102800D0B01000000BF -S315400132E07FFFB7C7901020109007BFE89215E0C83E -S315400132F07FFFF9AD94152120C205E0C8DA052120EA -S3154001330080A3400182152120128000079815E0C84C -S31540013310DA006004C203200480A3400102800CF558 -S31540013320010000007FFFB7B69010201019100085EC -S31540013330921320B89007BFE87FFFF99B941521208F -S315400133401B000070C205A1C09A13601F190000102E -S315400133508208400D9813201080A0400C0280000482 -S31540013360211001447FFFB7A6901020107FFFFBD5A7 -S31540013370901020001310008594142120921260B8F9 -S315400133807FFFF9899007BFE8C20720B0DA04212000 -S3154001339080A340019414212012800007821720B097 -S315400133A0DA02A004C200600480A340010280000446 -S315400133B0010000007FFFB792901020107FFFFBC1F4 -S315400133C01103C0009007BFE8921660F07FFFF976BF -S315400133D094152120C205A1C08330600E8208600386 -S315400133E080A0600221100144028000042310008065 -S315400133F07FFFB7839010201094142120C02461C010 -S315400134009016E0E07FFFF9689207BFF0C206E0E060 -S31540013410DA04212080A34001941421201280000760 -S315400134208216E0E0DA02A004C200600480A34001F3 -S3154001343002800CAB010000007FFFB7719010201095 -S315400134409016E0E09207BFE87FFFF95794152120D7 -S31540013450C206E0E0DA05212080A340018215212041 -S31540013460128000079816E0E0DA006004C2032004E7 -S3154001347080A3400102800C95010000007FFFB760E8 -S31540013480901020101B100085901360D092100008F8 -S315400134907FFFF9459415212019100085C20320D0DC -S315400134A0DA05212080A340018215212012800007E0 -S315400134B0981320D0DA006004C203200480A340019F -S315400134C002800C7D010000007FFFB74D9010201057 -S315400134D01B100085901360D8921000087FFFF932C7 -S315400134E09415212019100085C20320D8DA05212020 -S315400134F080A340018215212012800007981320D80D -S31540013500DA006004C203200480A3400102800C65F6 -S31540013510010000007FFFB73A901020101B10008574 -S3154001352003100085901360D8921060D07FFFF91E7A -S31540013530941521201B000070C205A1C09A13601F7B -S31540013540190000108208400D9813201080A0400CED -S3154001355002800004211001447FFFB72990102010FA -S315400135607FFFFB5890102000111000851310008535 -S3154001357094142120901220D87FFFF90B921260D02B -S31540013580C20720B0DA04212080A3400194142120EF -S3154001359012800007821720B0DA02A004C20060043C -S315400135A080A3400102800004010000007FFFB714A0 -S315400135B0901020107FFFFB431103C00019100085B6 -S315400135C01B100085901320D0921360D8C025A1C04E -S315400135D07FFFF8F594152120C20720B0DA05212096 -S315400135E080A340018215212012800007981720B040 -S315400135F0DA006004C203200480A3400102800C1F4C -S315400136001B0000707FFFB6FE901020109016E0E080 -S31540013610921660F07FFFF8E494152120C205A1C0FF -S315400136208330600E8208600380A06002211001444D -S3154001363002800004231000807FFFB6F19010201015 -S3154001364094142120C02461C09015E0C87FFFF8D6AC -S315400136509207BFF0C205E0C8DA04212080A34001E9 -S3154001366094142120128000078215E0C8DA02A004D2 -S31540013670C200600480A3400102800B9C010000004F -S315400136807FFFB6DF901020109015E0C89207BFE883 -S315400136907FFFF8C594152120C205E0C8DA0521202F -S315400136A080A3400182152120128000079815E0C8A9 -S315400136B0DA006004C203200480A3400102800B8625 -S315400136C0010000007FFFB6CE901020109015E0C893 -S315400136D09216E0E07FFFF8B494152120C205E0C8B8 -S315400136E0DA05212080A3400182152120128000079E -S315400136F09815E0C8DA006004C203200480A34001A3 -S3154001370002800B70010000007FFFB6BD90102010B3 -S315400137109015E0C8921000087FFFF8A39415212068 -S31540013720C205E0C8DA05212080A340018215212087 -S31540013730128000079815E0C8DA006004C20320042D -S3154001374080A3400102800B5A010000007FFFB6AC06 -S315400137509010201003100085921060B89015E0C8B3 -S315400137607FFFF8919415212003000070A610601F79 -S31540013770DA05A1C0030000109A0B4013AA1060108D -S3154001378080A34015231000852510014402800004C2 -S31540013790211000807FFFB69A90102010C02421C0CE -S315400137A0901460B89207BFF07FFFF87F9414A12070 -S315400137B0C20421C08208401380A040150280000443 -S315400137C0010000007FFFB68E90102010C02421C05A -S315400137D0901460B89207BFE87FFFF8739414A12054 -S315400137E0C20421C08208401380A040150280000413 -S315400137F0010000007FFFB68290102010C02421C036 -S31540013800901460B89216E0E07FFFF8679414A12007 -S31540013810C20421C08208401380A0401502800005E1 -S31540013820131000857FFFB676901020101310008587 -S31540013830C02421C0921260C8901460B87FFFF85A24 -S315400138409414A120C20421C08208401380A04015CF -S3154001385002800005901460B87FFFB6699010201071 -S31540013860901460B8C02421C0921000087FFFF84E22 -S315400138709414A120C20421C08208401380A040159F -S3154001388002800004010000007FFFB65D9010201009 -S31540013890C02421C0901460B8921660F07FFFF842B0 -S315400138A09414A120C20421C08330600E82086003B3 -S315400138B080A06002228000051103C0007FFFB65040 -S315400138C0901020101103C0007FFFFA7E3B10008448 -S315400138D0A2176210C02421C0AA14A120A40460101A -S315400138E0A0102000A6046008B010200C920400131A -S315400138F0900400117FFFF82C94152120DA048010E2 -S3154001390098040012C2052120A004201880A340017A -S315400139101280000790102010DA032004C2056004CB -S3154001392080A3400122800005B0863FFF7FFFB63469 -S3154001393001000000B0863FFF1CBFFFEE920400135A -S31540013940C205A1C080A06000128009890100000063 -S315400139501110008490122348920220087FFFF8122A -S315400139609415212098176210C2052120DA032148B7 -S3154001397080A340011280000782152120DA03214CE1 -S31540013980C200600480A3400122800AAB031000807C -S315400139907FFFB61B901020101110008490122360F7 -S315400139A0920220087FFFF800941521209817621093 -S315400139B0C2052120DA03216080A34001128000075D -S315400139C082152120DA032164C200600480A34001EC -S315400139D002800AA41B0000707FFFB60990102010D8 -S315400139E01110008490122378920220087FFFF7EE8F -S315400139F09415212098176210C2052120DA032178F7 -S31540013A0080A340011280000782152120DA03217C20 -S31540013A10C200600480A3400102800A9C1B00007022 -S31540013A207FFFB5F790102010C025A1C011100000EE -S31540013A3092102000150FFC007FFFF8B2961020006F -S31540013A40030FFC0080A200011280000880A26000E2 -S31540013A501280000601000000C205A1C080A06000DE -S31540013A6002800005111000007FFFB5E5901020107F -S31540013A701110000092102000152FFC007FFFF8A1C5 -S31540013A80961020000310020080A200011280000857 -S31540013A9080A260001280000601000000C205A1C09C -S31540013AA080A0600002800005113000007FFFB5D480 -S31540013AB0901020101130000092102000150FFC00CC -S31540013AC07FFFF890961020000330020080A200018B -S31540013AD01280000880A260001280000601000000EA -S31540013AE0C205A1C080A0600002800005113000001F -S31540013AF07FFFB5C3901020101130000092102000B6 -S31540013B00152FFC007FFFF87F96102000032FFC0045 -S31540013B1080A200011280000880A260001280000687 -S31540013B2001000000C205A1C080A06000028000051E -S31540013B30111000007FFFB5B2901020101110000047 -S31540013B407FFFF87A130FE0000310100080A20001F6 -S31540013B501280000601000000C205A1C080A06000DD -S31540013B6002800005111000007FFFB5A590102010BE -S31540013B70111000007FFFF877130FE000030FE000FC -S31540013B8080A200011280000601000000C205A1C00A -S31540013B9080A0600022800005191000857FFFB5983E -S31540013BA09010201019100085921320E8C025A1C05D -S31540013BB09007BFF07FFFF78F9415212019100085DC -S31540013BC0C20320D8DA05212080A340018215212095 -S31540013BD012800007981320D8DA006004C20320043B -S31540013BE080A3400102800A461B0000707FFFB58416 -S31540013BF0901020111B100085921360D0C025A1C0E2 -S31540013C009007BFF07FFFF77B94152120C207BFE0E5 -S31540013C10DA05212080A34001128000078215212068 -S31540013C20DA006004C207BFE480A3400102800A3E75 -S31540013C30010000007FFFB572901020119007BFF080 -S31540013C409215E0C87FFFF76B94152120C205E0C8A5 -S31540013C50DA05212080A34001821521201280000728 -S31540013C609815E0C8DA006004C203200480A340012D -S31540013C7002800A32010000007FFFB56190102011D9 -S31540013C8003100085921060B89007BFF07FFFF75987 -S31540013C9094152120C20720B0DA05212080A34001D6 -S31540013CA08215212012800007981720B0DA0060049F -S31540013CB0C203200480A3400102800A251B00007034 -S31540013CC07FFFB54F901020119007BFF0921660F01C -S31540013CD07FFFF74894152120C205A1C01B00007043 -S31540013CE08208400D1900002080A0400C211001449B -S31540013CF002800004231000807FFFB54190102011FF -S31540013D0094142120C02461C09007BFE87FFFF73992 -S31540013D109207BFF0DA042120C207BFE880A3400121 -S31540013D201280000794142120DA02A004C207BFECD6 -S31540013D3080A3400102800A10010000007FFFB530D8 -S31540013D409010201119100085901320E89207BFE0CA -S31540013D507FFFF72894152120C20720B0DA052120DC -S31540013D6080A340018215212012800007981720B0B8 -S31540013D70DA006004C203200480A3400102800A03E2 -S31540013D801B0000707FFFB51E901020111B1000858F -S31540013D9003100085901360E8921060D0C025A1C041 -S31540013DA07FFFF7149415212019100085C20320E8DE -S31540013DB0DA05212080A340018215212012800007C7 -S31540013DC0981320E8DA006004C203200480A340016E -S31540013DD0028009F8010000007FFFB509901020110B -S31540013DE09007BFE89215E0C87FFFF702941521209E -S31540013DF0C205E0C8DA05212080A3400182152120B1 -S31540013E00128000079815E0C8DA006004C203200456 -S31540013E1080A34001028009EC010000007FFFB4F855 -S31540013E20901020071B100085921360B89007BFE8D9 -S31540013E307FFFF6F09415212025000070D805A1C01A -S31540013E409A14A01F03000010980B000D82106010F9 -S31540013E5080A3000121100144028000042310008048 -S31540013E607FFFB4E790102011C02461C09007BFE8DE -S31540013E70921660F07FFFF6DF94142120C20461C0E0 -S31540013E80820840121B00002080A0400D22800005C0 -S31540013E90031000857FFFB4DA9010201103100085CE -S31540013EA0901060D894142120C02461C07FFFF6D1C0 -S31540013EB09207BFF019100085C20320D8DA042120E9 -S31540013EC080A340019414212012800007821320D838 -S31540013ED0DA02A004C200600480A34001028008B84F -S31540013EE0010000007FFFB4C6901020111B10008511 -S31540013EF003100085901360D8921060E87FFFF6BDED -S31540013F009415212019100085C20320D0DA052120FD -S31540013F1080A340018215212012800007981320D0EA -S31540013F20DA006004C203200480A34001028008A98C -S31540013F30010000007FFFB4B2901020119016E0E01E -S31540013F40921000087FFFF6AB94152120C20720B0DE -S31540013F50DA05212080A34001821521201280000725 -S31540013F60981720B0DA006004C203200480A3400100 -S31540013F700280089D1B0000707FFFB4A190102011A4 -S31540013F80C025A1C09016E0E09215E0C87FFFF699E2 -S31540013F9094152120C205E0C8DA05212080A34001FD -S31540013FA082152120128000079815E0C8DA006004C6 -S31540013FB0C203200480A3400102800894010000004E -S31540013FC07FFFB48F901020111B100085921360B8AB -S31540013FD09016E0E07FFFF68794152120C20720B0B6 -S31540013FE0DA05212080A34001821521201280000795 -S31540013FF0981720B0DA006004C203200480A3400170 -S31540014000028008871B0000707FFFB47D901020114D -S31540014010C025A1C09016E0E0921660F07FFFF675CC -S3154001402094152120C205A1C01B0000708208400DD5 -S315400140301900002080A0400C23100080028000045B -S31540014040211001447FFFB46E901020119414212059 -S31540014050C02461C09015E0C87FFFF6669207BFF0A5 -S31540014060C205E0C8DA04212080A34001941421202E -S31540014070128000078215E0C8DA02A004C20060047B -S3154001408080A340010280086F010000007FFFB45CFD -S31540014090901020119015E0C89207BFE87FFFF655B2 -S315400140A094152120C205E0C8DA05212080A34001EC -S315400140B082152120128000079815E0C8DA006004B5 -S315400140C0C203200480A3400102800863010000006E -S315400140D07FFFB44B901020119015E0C89216E0E096 -S315400140E07FFFF64494152120C205E0C8DA05212058 -S315400140F080A3400182152120128000079815E0C84F -S31540014100DA006004C203200480A3400102800857FC -S31540014110010000007FFFB43A901020119015E0C8CD -S31540014120921000087FFFF63394152120C205E0C89E -S31540014130DA05212080A34001821521201280000743 -S315400141409815E0C8DA006004C203200480A3400148 -S31540014150028007F3010000007FFFB429901020116F -S3154001416003100085921060B89015E0C87FFFF621D4 -S3154001417094152120C20720B0DA05212080A34001F1 -S315400141808215212012800007981720B0DA006004BA -S31540014190C203200480A34001028007E61B00007091 -S315400141A07FFFB417901020119015E0C8921660F069 -S315400141B07FFFF61094152120C205A1C03100007081 -S315400141C0820840183B00002080A0401D2310014476 -S315400141D002800004211000807FFFB4099010201155 -S315400141E019100085901320B89207BFF07FFFF601A2 -S315400141F094146120C20421C0AA16201F3500001064 -S3154001420082084015A616A01080A040130280000423 -S31540014210251000857FFFB3FA90102011C02421C0DC -S315400142209014A0B89207BFE87FFFF5F2941461207D -S31540014230C20421C08208401580A0401302800004B8 -S31540014240010000007FFFB3EE90102011C02421C071 -S315400142509014A0B89216E0E07FFFF5E69414612031 -S31540014260C20421C08208401580A040130280000587 -S31540014270131000857FFFB3E29010201113100085C3 -S31540014280C02421C0921260C89014A0B87FFFF5D90E -S3154001429094146120C20421C08208401580A04013B5 -S315400142A0028000059014A0B87FFFB3D5901020116D -S315400142B09014A0B8C02421C0921000087FFFF5CD0C -S315400142C094146120C20421C08208401580A0401385 -S315400142D002800005131000857FFFB3C9901020119D -S315400142E013100085C02421C09014A0B8921260F02A -S315400142F07FFFF5C094146120C20421C08208401892 -S3154001430080A0401D2280000515203E837FFFB3BC5F -S315400143109010201115203E83170021C89412A3FF47 -S315400143209612E3A1191FC0001B00C0009A1360B08A -S3154001433098132102D43FBFD0D83FBFD8C02421C053 -S315400143409007BFD89207BFD07FFFF5AA9407BFC891 -S31540014350DA07BFC8033FFC0080A340010280070B78 -S31540014360A207BFC87FFFB3A6901020111510868300 -S31540014370170021C89412A3FF9612E3A11900400029 -S315400143801B00C0009A1360B098132102D43FBFD0DE -S31540014390D83FBFD8C025A1C09007BFD89207BFD08C -S315400143A07FFFF5949407BFC8DA046004C207BFC80B -S315400143B08090400D1280000A1B000070C205A1C00A -S315400143C09A13601F190000108208400D98132004AB -S315400143D080A0400C22800005150FFC007FFFB388AA -S315400143E090102011150FFC00170281D89412A0409D -S315400143F09612E10C9A102010190006AFD43FBFD097 -S31540014400D83FBFD8C025A1C09007BFD89207BFD01B -S315400144107FFFF5789407BFC8030006AEDA07BFC829 -S315400144208210639580A340011280000703003A9AE7 -S31540014430DA0460048210630F80A3400102800733CF -S31540014440010000007FFFB36E90102011150FFFFF92 -S31540014450170281D89412A3409612E10C9A102010AB -S31540014460190006AFD43FBFD0D83FBFD8C025A1C0A1 -S315400144709007BFD89207BFD07FFFF55E9407BFC8AC -S31540014480DA046004C207BFC88090400D1280000A5A -S315400144901B000070C205A1C09A13601F19000010CD -S315400144A08208400D9813200480A0400C028000042D -S315400144B0010000007FFFB35290102011C025A1C01A -S315400144C0111088007FFFF63713100100031066C9EB -S315400144D0821062CA80A2000112800006010000001B -S315400144E0C205A1C080A06000028000051111BBFE7B -S315400144F07FFFB343901020111111BBFE901223FF91 -S315400145007FFFF628130C7040031527CA8210611EDF -S3154001451080A200011280000601000000C205A1C070 -S3154001452080A06000028000051310C7FF7FFFB334EF -S31540014530901020111310C7FF921263FC7FFFF619EA -S31540014540111E607E031D73FC8210633880A2000138 -S315400145501280000601000000C205A1C080A06000D3 -S3154001456002800005130FE0007FFFB3259010201154 -S31540014570130FE000921260017FFFF60A110020003E -S3154001458080A220001280000A1B000070C205A1C053 -S315400145909A13601F190000108208400D98132004D9 -S315400145A080A0400C02800005110FE0007FFFB3148C -S315400145B090102011110FE000C025A1C07FFFF5F931 -S315400145C092100008030FE00080A20001128000064D -S315400145D001000000C205A1C080A060000280000564 -S315400145E0130FE0007FFFB30690102011130FE00078 -S315400145F0921260017FFFF5EB1100200080A220009E -S315400146001280000A1B000070C205A1C09A13601FE8 -S31540014610190000108208400D9813200480A0400C18 -S31540014620028000051B1000857FFFB2F59010201116 -S315400146301B100085921360E8C025A1C09007BFF00A -S315400146407FFFF4DE9415212019100085C20320E86E -S31540014650DA05212080A3400182152120128000071E -S31540014660981320E8DA006004C203200480A34001C5 -S31540014670028006BA010000007FFFB2E190102012CD -S315400146801B100085921360D09007BFF07FFFF4CBDB -S315400146909415212019100085C20320D0DA05212066 -S315400146A080A340018215212012800007981320D053 -S315400146B0DA006004C203200480A34001028006ACF4 -S315400146C0010000007FFFB2CE901020129007BFF08C -S315400146D09215E0C87FFFF4B994152120C205E0C8C0 -S315400146E0DA05212080A3400182152120128000078E -S315400146F09815E0C8DA006004C203200480A3400193 -S31540014700028006A0010000007FFFB2BD901020127A -S315400147101B100085921360B89007BFF07FFFF4A786 -S3154001472094152120C20720B0DA05212080A340013B -S315400147308215212012800007981720B0DA00600404 -S31540014740C203200480A34001028006931B0000702F -S315400147507FFFB2AB901020129007BFF0921660F027 -S315400147607FFFF49694152120C205A1C01B0000705D -S315400147708208400D1900002080A0400C2110014400 -S3154001478002800004231000807FFFB29D901020120A -S3154001479094142120C02461C09007BFE87FFFF487AD -S315400147A09207BFF0DA042120C207BFE880A3400187 -S315400147B01280000794142120DA02A004C207BFEC3C -S315400147C080A34001028006DE010000007FFFB28C1B -S315400147D0901020120310008519100085901060E892 -S315400147E0921320D87FFFF47594152120C20720B07B -S315400147F0DA05212080A3400182152120128000077D -S31540014800981720B0DA006004C203200480A3400157 -S31540014810028006D01B0000707FFFB27990102012F3 -S31540014820C025A1C09007BFE89215E0C87FFFF46399 -S3154001483094152120C205E0C8DA05212080A3400154 -S3154001484082152120128000079815E0C8DA0060041D -S31540014850C203200480A34001028006C70100000074 -S315400148607FFFB267901024991B100085921360B8A0 -S315400148709007BFE87FFFF45194152120C20720B06D -S31540014880DA05212080A340018215212012800007EC -S31540014890981720B0DA006004C203200480A34001C7 -S315400148A0028006BA1B0000707FFFB255901020129D -S315400148B09007BFE8921660F07FFFF44094152120DF -S315400148C0C205A1C01B0000708208400D19000020DE -S315400148D080A0400C21100144028000042310008076 -S315400148E07FFFB2479010201294142120C02461C04A -S315400148F09016E0E07FFFF4319207BFF0C206E0E098 -S31540014900DA04212080A3400194142120128000075B -S315400149108216E0E0DA02A004C200600480A34001EE -S31540014920028006A4010000007FFFB23590102012DC -S315400149309016E0E09207BFE87FFFF420941521200E -S31540014940C20720B0DA05212080A34001821521202B -S3154001495012800007981720B0DA006004C2032004D1 -S3154001496080A34001028006981B0000707FFFB2249D -S3154001497090102012C025A1C09016E0E09215E0C823 -S315400149807FFFF40E94152120C205E0C8DA052120E7 -S3154001499080A3400182152120128000079815E0C8A6 -S315400149A0DA006004C203200480A340010280068F1E -S315400149B0010000007FFFB212901020120310008503 -S315400149C0921060B89016E0E07FFFF3FC9415212029 -S315400149D0C20720B0DA05212080A34001821521209B -S315400149E012800007981720B0DA006004C203200441 -S315400149F080A34001028006821B0000707FFFB20047 -S31540014A00901020129016E0E0921660F07FFFF3EBD3 -S31540014A1094152120C205A1C01B0000708208400DDB -S31540014A201900002080A0400C21100144028000049E -S31540014A30231000807FFFB1F29010201294142120A0 -S31540014A40C02461C09015E0C87FFFF3DC9207BFF038 -S31540014A50C205E0C8DA04212080A340019414212034 -S31540014A60128000078215E0C8DA02A004C200600481 -S31540014A7080A340010280061E010000007FFFB1E0D5 -S31540014A80901020129015E0C89207BFE87FFFF3CB44 -S31540014A9094152120C205E0C8DA05212080A34001F2 -S31540014AA082152120128000079815E0C8DA006004BB -S31540014AB0C203200480A340010280061201000000C7 -S31540014AC07FFFB1CF901020129015E0C89216E0E01A -S31540014AD07FFFF3BA94152120C205E0C8DA052120EB -S31540014AE080A3400182152120128000079815E0C855 -S31540014AF0DA006004C203200480A340010280060656 -S31540014B00010000007FFFB1BE901020129015E0C851 -S31540014B10921000087FFFF3A994152120C205E0C831 -S31540014B20DA05212080A34001821521201280000749 -S31540014B309815E0C8DA006004C203200480A340014E -S31540014B40028005FA010000007FFFB1AD90102012EE -S31540014B50191000859015E0C8921320B87FFFF3978E -S31540014B609415212003000070A610601FDA05A1C02C -S31540014B70030000109A0B4013AA10601080A3401541 -S31540014B802510008523100144028000042110008075 -S31540014B907FFFB19B90102012C02421C09014A0B871 -S31540014BA09207BFF07FFFF38594146120C20421C0B0 -S31540014BB08208401380A040150280000401000000D5 -S31540014BC07FFFB18F90102012C02421C09014A0B84D -S31540014BD09207BFE87FFFF37994146120C20421C094 -S31540014BE08208401380A040150280000401000000A5 -S31540014BF07FFFB18390102012C02421C09014A0B829 -S31540014C009216E0E07FFFF36D94146120C20421C047 -S31540014C108208401380A040150280000513100085CC -S31540014C207FFFB1779010201213100085C02421C058 -S31540014C30921260C89014A0B87FFFF360941461206B -S31540014C40C20421C08208401380A04015028000059D -S31540014C509014A0B87FFFB16A901020129014A0B8AA -S31540014C60C02421C0921000087FFFF35494146120A0 -S31540014C70C20421C08208401380A04015028000046E -S31540014C80010000007FFFB15E90102012C02421C0B8 -S31540014C909014A0B8921660F07FFFF34894146120F7 -S31540014CA0C20421C08330600E8208600380A0600286 -S31540014CB0228000051103C0007FFFB15190102012E0 -S31540014CC01103C0007FFFF57F3B100084A41763905A -S31540014CD0AA146120C02421C0A604A008A210200065 -S31540014CE0B0102005A00440129204401394152120CF -S31540014CF07FFFF33290100010DA042010C205212004 -S31540014D00A204601880A3400112800007901020126F -S31540014D10DA042014C205600480A3400102800474B1 -S31540014D20010000007FFFB13601000000B0863FFF61 -S31540014D303CBFFFEEA00440121110008590122020C6 -S31540014D40920220087FFFF31D941521209817639046 -S31540014D50C2052120DA0320A080A34001128000076A -S31540014D6082152120DA0320A4C200600480A34001F9 -S31540014D70028004DC1B0000707FFFB12190102012DD -S31540014D801110008590122038C025A1C0920220083A -S31540014D907FFFF30A9415212098176390C2052120BD -S31540014DA0DA0320B880A34001128000078215212032 -S31540014DB0DA0320BCC200600480A340010280047F64 -S31540014DC01B0000707FFFB10E90102012111000855C -S31540014DD090122050C025A1C0920220087FFFF2F711 -S31540014DE09415212098176390C2052120DA0320D01B -S31540014DF080A340011280000782152120DA0320D4C6 -S31540014E00C200600480A34001028004761B0000704A -S31540014E107FFFB0FB90102012111000859012206880 -S31540014E20C025A1C0920220087FFFF2E494152120FB -S31540014E3098176390C2052120DA0320E880A3400138 -S31540014E401280000782152120DA0320ECC20060049B -S31540014E5080A340010280046D1B0000707FFFB0E813 -S31540014E60901020121110008590122080C025A1C0FB -S31540014E70920220087FFFF2D1941521209817639062 -S31540014E80C2052120DA03210080A3400112800007D8 -S31540014E9082152120DA032104C200600480A3400167 -S31540014EA0028004641B0000707FFFB0D59010201271 -S31540014EB0C025A1C0111010007FFFF3B013100000F0 -S31540014EC00310300080A2000112800006010000009C -S31540014ED0C205A1C080A0600002800005111FE0004C -S31540014EE07FFFB0C790102012111FE0001310000081 -S31540014EF07FFFF2B794152120031FFC00DA0521201C -S31540014F0080A340011280000A82152120C20060045C -S31540014F1080A060001280000601000000C205A1C009 -S31540014F2080A0600002800005111FE0007FFFB0B441 -S31540014F309010201B111FE000133000007FFFF2A4E8 -S31540014F4094152120033FFC00DA05212080A340016E -S31540014F501280000A82152120C200600480A06000F0 -S31540014F601280000601000000C205A1C080A06000B9 -S31540014F7002800005111000007FFFB0A19010201C97 -S31540014F8011100000921020107FFFF29194152120FC -S31540014F90C205A1C01B0000708208400D1900002007 -S31540014FA080A0400C2110014402800004231000809F -S31540014FB07FFFB0939010201D94142120C02461C01E -S31540014FC0110020007FFFF282130FC000DA04212076 -S31540014FD0030E000080A340011280000A9414212090 -S31540014FE0C202A00480A060001280000601000000F9 -S31540014FF0C20461C080A0600002800005111FDFFF6E -S315400150007FFFB07F9010201E111FDFFF901223FFFC -S31540015010131000007FFFF26E941521200311FFFF4C -S31540015020821063FFDA05212080A340011280000728 -S3154001503082152120DA0060040338000080A3400174 -S3154001504002800405010000007FFFB06D9010201F13 -S31540015050111FD000130FF0007FFFF25D9415212040 -S315400150600311FC80DA05212080A340011280000A49 -S3154001507082152120C200600480A0600012800006D3 -S3154001508001000000C205A1C080A0600002800005A9 -S31540015090111FDFFF7FFFB05A90102021111FDFFF44 -S315400150A0901223FF921000087FFFF24994152120A8 -S315400150B00313FBFF821063FFDA05212080A3400121 -S315400150C01280000882152120DA00600403300000B6 -S315400150D08210602080A34001028003E401000000A9 -S315400150E07FFFB047901020207FFFF4761103C00068 -S315400150F0C025A1C09007BFE07FFFF2449215212051 -S31540015100C207BFE0DA05212080A3400112800007D3 -S3154001511082152120DA006004C207BFE480A3400162 -S31540015120028003D7010000007FFFB03590102013A5 -S315400151301B100085901360E87FFFF2349215212001 -S3154001514003100085DA0060E8C205212080A0400DE9 -S31540015150191000858215212012800007901320E83E -S31540015160DA006004C202200480A34001028003C920 -S31540015170010000007FFFB022901020131B10008514 -S31540015180901360D07FFFF2219215212019100085DE -S31540015190C20320D0DA05212080A3400182152120B7 -S315400151A012800007981320D0DA006004C20320045D -S315400151B080A34001028003BC010000007FFFB010C4 -S315400151C0901020131B100085901360D87FFFF20FBB -S315400151D092152120C20720B0DA05212080A3400183 -S315400151E08215212012800007981720B0DA0060044A -S315400151F0C203200480A34001028003B01B0000705B -S315400152007FFFAFFF9010201303100085901060B808 -S31540015210C025A1C07FFFF1FD92152120C20720B014 -S31540015220DA05212080A34001821521201280000742 -S31540015230981720B0DA006004C203200480A340011D -S315400152400280040F1B0000707FFFAFED901020130A -S31540015250C025A1C09015E0C87FFFF1EC9215212031 -S31540015260C2052120DA05E0C880A0400D8215212023 -S31540015270128000079015E0C8DA006004C2022004DB -S3154001528080A3400102800407010000007FFFAFDCDC -S3154001529090102013170C00089A10200019100C00CA -S315400152A015300F789612E001D83FBFF0D43FBFC00A -S315400152B09007BFF07FFFF1D592152120031004001E -S315400152C0DA05212080A340011280000A821521209F -S315400152D0C200600480A06000128000060100000048 -S315400152E0C205A1C080A06000028000059007BFC032 -S315400152F07FFFAFC3901020139007BFC07FFFF1C35C -S3154001530092152120C2052120DA0720B080A0400D48 -S315400153108215212012800007981720B0DA00600418 -S31540015320C203200480A34001028003E31B000070F6 -S315400153307FFFAFB390102013901660F07FFFF1B35B -S3154001534092152120C205A1C08330600E82086003F8 -S3154001535080A0600202800004211000807FFFAFA878 -S3154001536090102013C02421C07FFFF2981111F20042 -S315400153700310E80080A2000112800006010000002F -S31540015380C20421C080A06000028000040100000028 -S315400153907FFFAF9B901020137FFFF3CA11100000CF -S315400153A01101F5897FFFF289901221E20308E96430 -S315400153B080A200011280000601000000C205A1C0C2 -S315400153C080A0600002800004010000007FFFAF8CD6 -S315400153D0901020237FFFF27D1112A2080311410094 -S315400153E080A200011280000601000000C205A1C092 -S315400153F080A0600002800004010000007FFFAF80B2 -S31540015400901020237FFFF3AF110010009007BFF0EB -S31540015410921660F07FFFF1649415212003100C0071 -S31540015420DA05212080A340011280000A821521203D -S31540015430C200600480A060001280000601000000E6 -S31540015440C205A1C080A0600002800005901660F0F0 -S315400154507FFFAF6B90102014901660F09207BFC08B -S315400154607FFFF1569415212003200000DA05212003 -S3154001547080A340011280000A82152120C2006004E7 -S3154001548080A060001280000601000000C205A1C094 -S3154001549080A0600002800004010000007FFFAF5839 -S315400154A0901020147FFFF19190102001030FE0002E -S315400154B080A200011280000601000000C205A1C0C1 -S315400154C080A0600002800004010000007FFFAF4C15 -S315400154D0901020147FFFF17D90102001030FFC00F6 -S315400154E080A200011280000880A26000128000069E -S315400154F001000000C205A1C080A060000280000436 -S31540015500010000007FFFAF3E901020147FFFF36D36 -S315400155101110100019100085D41B20D07FFFF1F91E -S31540015520D01E60F003100085D03D2120DA0060D8FE -S31540015530C205212080A0400D191000858215212029 -S3154001554012800007901320D8DA006004C2022004BA -S3154001555080A3400102800361010000007FFFAF2864 -S31540015560901020227FFFF35711100000170400808E -S31540015570150F28009612E0F09A102000190FFC0032 -S31540015580D43FBFC0D83FBFF09007BFF09207BFC01E -S315400155907FFFF10594152120030FFC00DA05212038 -S315400155A080A340011280000682152120C2006004BA -S315400155B080A0600002800004010000007FFFAF1060 -S315400155C0901020157FFFF33F112000001700004087 -S315400155D0150014009612E0019A102000190FFC00E4 -S315400155E0D43FBFC0D83FBFF09007BFF09207BFC0BE -S315400155F07FFFF0ED94152120030FFC00DA052120F1 -S3154001560080A340011280000682152120C200600459 -S3154001561080A0600102800004010000007FFFAEF817 -S31540015620901020157FFFF32711300000170000402E -S31540015630152014009612E0019A102000192FFC0043 -S31540015640D43FBFC0D83FBFF09007BFF09207BFC05D -S315400156507FFFF0D594152120032FFC00DA05212088 -S3154001566080A340011280000682152120C2006004F9 -S3154001567080A0600102800004010000007FFFAEE0CF -S31540015680901020157FFFF30F11100000190FFC0039 -S315400156909A102000D83FBFF09007BFF09216E0E085 -S315400156A07FFFF0C194152120C206E0E0DA052120F2 -S315400156B080A3400182152120128000079816E0E060 -S315400156C0DA006004C203200480A340010280000482 -S315400156D0010000007FFFAECA901020157FFFF2F94E -S315400156E01120000017000040150014009612E00139 -S315400156F0190FFC009A102000D43FBFC0D83FBFF01D -S315400157009007BFF09216E0E07FFFF0A794152120A5 -S31540015710C206E0E0DA05212080A34001821521205E -S31540015720128000079816E0E0DA006004C203200404 -S3154001573080A3400102800004010000007FFFAEB05B -S31540015740901020157FFFF2DF113000001700004056 -S31540015750152014009612E0019A102000192FFC0022 -S31540015760D43FBFC0D83FBFF09007BFF09216E0E0EC -S315400157707FFFF08D94152120C2052120DA06E0E055 -S3154001578080A0400D82152120128000079016E0E08E -S31540015790DA006004C202200480A3400102800004B2 -S315400157A0010000007FFFAE96901020157FFFF2C5E5 -S315400157B01110000015100000961020019A102001CA -S315400157C0190FFC00D43FBFC0D83FBFF09007BFF0D0 -S315400157D09207BFC07FFFF079941521200310000086 -S315400157E0DA05212080A3400112800006821521207E -S315400157F0C200600480A06002028000040100000033 -S315400158007FFFAE7F901020157FFFF2AE1120000082 -S315400158109007BFF09207BFC07FFFF0689415212023 -S3154001582003100000DA05212080A340011280000602 -S3154001583082152120C200600480A06003028000041A -S31540015840010000007FFFAE6E901020157FFFF29D94 -S31540015850113000009A102001192FFC00D83FBFF0EB -S315400158609007BFF09207BFC07FFFF05494152120E7 -S3154001587003300000DA05212080A340011280000692 -S3154001588082152120C200600480A0600302800004CA -S31540015890010000007FFFAE5A901020157FFFF2896C -S315400158A011100000150FFC04172F26159412A01293 -S315400158B09612E231190FFEAE1B1CD2E89A13601103 -S315400158C098132154D43FBFC0D83FBFF09007BFF0D3 -S315400158D09207BFC07FFFF04794152120030FFEA713 -S315400158E082106296DA05212080A3400112800008C9 -S315400158F082152120DA006004032C1B348210602FAC -S3154001590080A3400102800004010000007FFFAE3CFD -S31540015910901020157FFFF26B112000009007BFF019 -S315400159209207BFC07FFFF03394152120030FFEA7D6 -S3154001593082106296DA05212080A340011280000878 -S3154001594082152120DA006004032C1B34821060305A -S3154001595080A3400102800004010000007FFFAE28C1 -S31540015960901020157FFFF25711300000192FFC04CB -S315400159701B2F26159A13623198132012D83FBFC0A8 -S315400159809007BFF09207BFC07FFFF01A9415212000 -S31540015990032FFEA782106296DA05212080A34001DB -S315400159A01280000882152120DA006004032C1B3482 -S315400159B08210603080A34001028000040100000093 -S315400159C07FFFAE0F901020157FFFF23E11100000B1 -S315400159D015101000961020009A102000191038005A -S315400159E0D43FBFC0D83FBFF09007BFF09207BFC0BA -S315400159F07FFFF0009415212003102400DA052120B1 -S31540015A0080A340011280000682152120C200600455 -S31540015A1080A0600002800004010000007FFFADF815 -S31540015A20901020157FFFF227112000009007BFF04C -S31540015A309207BFC07FFFEFEF94152120031024008A -S31540015A40DA05212080A3400112800006821521201B -S31540015A50C200600480A060000280000401000000D2 -S31540015A607FFFADE7901020157FFFF2161130000041 -S31540015A709007BFF09207BFC07FFFEFDE941521204C -S31540015A8003102400DA05212080A34001128000067C -S31540015A9082152120C200600480A0600002800004BB -S31540015AA0010000007FFFADD6901020157FFFF20563 -S31540015AB011100000210FE000110020007FFFF0B916 -S31540015AC09214200180A220001280012301000000CF -S31540015AD07FFFF1FC11200000110020007FFFF0B193 -S31540015AE09214200180A220001280011801000000BA -S31540015AF07FFFF1F411300000921420017FFFF0A9DD -S31540015B001100200080A220001280010D010000003A -S31540015B107FFFF1EC111000009A102000190FFC00D4 -S31540015B20D83FBFF09007BFF07FFFEFB89215212015 -S31540015B30C207BFF0DA05212080A340011280000789 -S31540015B4082152120DA006004C207BFF480A3400118 -S31540015B50028002A7010000007FFFADA99010201529 -S31540015B607FFFF1D8112000009007BFF07FFFEFA71C -S31540015B7092152120C207BFF0DA05212080A34001FA -S31540015B801280000782152120DA006004C207BFF4A3 -S31540015B9080A340010280029B010000007FFFAD9877 -S31540015BA0901020157FFFF1C7113000009007BFF01C -S31540015BB07FFFEF9692152120C207BFF0DA0521201B -S31540015BC080A340011280000782152120DA0060047B -S31540015BD0C207BFF480A340010280028F010000008A -S31540015BE07FFFAD87901020157FFFF1B611100000A1 -S31540015BF0030FDFFF901063FF7FFFF074A0100008D2 -S31540015C0080A2001002800004010000007FFFAD7CED -S31540015C10901020157FFFF1AB112000007FFFF06B44 -S31540015C2090100010030FE00080A2000102800004E2 -S31540015C30010000007FFFAD72901020157FFFF1A19A -S31540015C40113000007FFFF0619010001080A200101B -S31540015C5002800004010000007FFFAD69901020150D -S31540015C607FFFF198901020007FFFF0609015212072 -S31540015C70032FFE00DA05212080A340011280000691 -S31540015C8082152120C200600480A0600002800005C8 -S31540015C90211001447FFFAD5A901020162110014476 -S31540015CA07FFFF05C90142128DA042128032FF000AD -S31540015CB080A3400102800004A21421287FFFAD5039 -S31540015CC0901020167FFFF05D90100011DA04212814 -S31540015CD0030FE00080A340010280000401000000A0 -S31540015CE07FFFAD47901020167FFFF064901521206D -S31540015CF0030FFBF7821063F0DA05212080A34001F0 -S31540015D001280000882152120DA0060040303FF1285 -S31540015D108210604A80A3400102800005921660F01D -S31540015D207FFFAD3790102016921660F09007BFF0B6 -S31540015D307FFFEF3094152120C205A1C08330600E4C -S31540015D408208600780A060020280000519100046A3 -S31540015D507FFFAD2B901020171910004617100080B9 -S31540015D60DA02E1C8821321F880A0400DE00321F850 -S31540015D7002800004A212E1C87FFFAD2190102018D5 -S31540015D80C204600480A040100280000401000000AB -S31540015D907FFFAD1B90102018C204600880A06000F0 -S31540015DA01280007701000000C204600C80A06000F0 -S31540015DB01280006C010000007FFFEEE6010000004A -S31540015DC080A220010280019801000000190C40299F -S31540015DD01B23CD1B9A13609B981320069410200019 -S31540015DE096102000D83FBFF0D43FBFC07FFFEF2FB2 -S31540015DF09007BFF003100083A21062101B100081B0 -S31540015E0003100144A0136210A4106120B0102000B9 -S31540015E10832E2002DA044001DA27BFC09007BFC0B3 -S31540015E207FFFEF25921521209B2E2003D804000DDC -S31540015E30C2052120B00620019603401080A300012F -S31540015E401280000790102019DA02E004C204A0046F -S31540015E5080A340010280000580A620FF7FFFACE8B9 -S31540015E600100000080A620FF04BFFFEB832E200225 -S31540015E7003100083A41062101B100080031001441C -S31540015E80A2136210A6106120B0102000A12E20029C -S31540015E90C2048010C227BFC0921521207FFFEEDBCE -S31540015EA09007BFC0DA044010C2052120B006200287 -S31540015EB09804001180A34001128000079010201A17 -S31540015EC0DA032004C204E00480A3400102800005F5 -S31540015ED080A620FF7FFFACCA0100000080A620FFFC -S31540015EE024BFFFECA12E200230800211C205A1C0C1 -S31540015EF080A0600022BFFB8FB0863FFF30BFFB8A88 -S31540015F00C205A1C080A0600002BFF14501000000AA -S31540015F1030BFF141C205A1C080A0600002BFF13689 -S31540015F200100000030BFF132C205A1C080A060006F -S31540015F3002BFF1270100000030BFF1237FFFACB063 -S31540015F409010201530BFFEF37FFFACAD90102015A9 -S31540015F5030BFFEE87FFFACAA9010201530BFFEDDB2 -S31540015F607FFFACA79010201830BFFF947FFFACA4F1 -S31540015F709010201010BFF678111000847FFFACA05E -S31540015F809010201830BFFF89C207BFCC80A06000A7 -S31540015F9012BFF8F501000000C20421C08208401575 -S31540015FA09A16A00880A0400D12BFF8EF010000002C -S31540015FB010BFF8F015108683C205A1C09A13601F61 -S31540015FC0190000108208400D9813200880A0400C4B -S31540015FD012BFFB7D1110008510BFFB7F9012205030 -S31540015FE0C205A1C09A13601F190000108208400D16 -S31540015FF09813200880A0400C12BFFB861110008523 -S3154001600010BFFB8890122068C205A1C09A13601F79 -S31540016010190000108208400D9813200480A0400CFE -S3154001602012BFFB8F1110008510BFFB91901220808B -S31540016030C205A1C09A13601F190000108208400DC5 -S315400160409813200880A0400C12BFFB980100000065 -S3154001605030BFFB98C205A1C080A0600002BFFBFE15 -S31540016060111FD00030BFFBF9C205A1C080A060005E -S3154001607002BFFC1E0100000030BFFC1AC205A1C0D0 -S3154001608080A0600002BFFC2C1B10008530BFFC279E -S31540016090C205A1C080A0600002BFFC3A1B1000856A -S315400160A030BFFC35C205A1C080A0600002BFFC47DD -S315400160B01B10008530BFFC42C205A1C09A13601F68 -S315400160C0190000108208400D9813201080A0400C42 -S315400160D012BFFC4C0310008510BFFC4E901060B8F7 -S315400160E0C205A1C09A13601F190000108208400D15 -S315400160F09813200480A0400C12BFFB20111000858C -S3154001610010BFFB2290122038C205A1C080A06000BA -S3154001611022BFF8D0150FFFFF30BFF8CBC205A1C093 -S3154001612080A0600002BFF8100310008530BFF80B55 -S31540016130C205A1C09A13601F190000108208400DC4 -S315400161409813201080A0400C12BFF8169015E0C895 -S3154001615010BFF818921660F0C205A1C080A0600079 -S3154001616002BFF9491B10008530BFF944C205A1C0E1 -S3154001617080A0600002BFF9579007BFF030BFF952C7 -S31540016180C205A1C080A0600002BFF9631B10008553 -S3154001619030BFF95EC205A1C09A13601F19000010F5 -S315400161A08208400D9813201080A0400C12BFF96957 -S315400161B09007BFF010BFF96B921660F0C20461C040 -S315400161C080A0600002BFF74B1B10008530BFF74629 -S315400161D0C205A1C080A0600002BFF75A9016E0E058 -S315400161E030BFF755C205A1C09A13601F19000010B0 -S315400161F08208400D9813201080A0400C12BFF75F13 -S315400162000100000030BFF75FC205A1C080A0600059 -S3154001621002BFF76F1B10008530BFF76AC205A1C0E8 -S315400162209A13601F190000108208400D9813201020 -S3154001623080A0400C12BFF7750100000030BFF77512 -S31540016240C20461C080A0600002BFF7949015E0C807 -S3154001625030BFF78FC205A1C080A0600002BFF7A082 -S315400162609015E0C830BFF79BC205A1C080A0600071 -S3154001627002BFF7AC9015E0C830BFF7A7C205A1C071 -S315400162809A13601F190000108208400D98132010C0 -S3154001629080A0400C12BFFBED0100000030BFFBEDBA -S315400162A0C205A1C080A0600002BFFBFC170C00081C -S315400162B030BFFBF7C205A1C09A13601F1900001039 -S315400162C08208400D9813201080A0400C12BFFC1983 -S315400162D0901660F030BFFC1AC205A1C080A06000D4 -S315400162E002BFFCA10100000030BFFC9DC20461C099 -S315400162F080A0600002BFF9E59015E0C830BFF9E023 -S31540016300C205A1C080A0600002BFF9F19015E0C8A6 -S3154001631030BFF9ECC205A1C080A0600002BFF9FD03 -S315400163209015E0C830BFF9F8C205A1C080A0600051 -S3154001633002BFFA091910008530BFFA04C20461C0D0 -S3154001634080A0600002BFF9250310008530BFF92007 -S31540016350C205A1C09A13601F190000108208400DA2 -S315400163609813201080A0400C12BFF92C01000000A8 -S3154001637030BFF92CC205A1C080A0600002BFF93C24 -S315400163801B10008530BFF937C205A1C09A13601FA3 -S31540016390190000108208400D9813201080A0400C6F -S315400163A012BFF9429007BFE810BFF944921660F058 -S315400163B0C20461C080A0600002BFF95F9016E0E0B0 -S315400163C030BFF95AC205A1C09A13601F19000010C7 -S315400163D08208400D9813201080A0400C12BFF9642A -S315400163E00100000030BFF964C205A1C080A0600071 -S315400163F002BFF9740310008530BFF96FC205A1C011 -S315400164009A13601F190000108208400D981320103E -S3154001641080A0400C12BFF97A9016E0E010BFF97CDB -S31540016420921660F07FFFAB769010201910BFFE697F -S31540016430190C4029D80061C01B0000709A13601FD7 -S3154001644003000010980B000D8210600880A3000124 -S3154001645012BFF5501110008410BFF55290122360FF -S31540016460C205A1C09A13601F190000108208400D91 -S315400164709813200480A0400C12BFF55811100084D7 -S3154001648010BFF55A90122378C205A1C09A13601F16 -S31540016490190000108208400D9813200480A0400C7A -S315400164A012BFF5600100000030BFF560C205A1C012 -S315400164B080A0600002BFF4A90310008530BFF4A498 -S315400164C0C205A1C080A0600002BFF4939015E0C848 -S315400164D030BFF48EC205A1C080A0600002BFF47D2A -S315400164E09015E0C830BFF478C20461C080A0600056 -S315400164F002BFF4679015E0C830BFF462C205A1C07F -S315400165009A13601F190000108208400D981320024B -S3154001651080A0400C12BFF5B61B10008510BFF5B820 -S31540016520921360D0C205A1C080A0600002BFF5C52C -S315400165309007BFF030BFF5C0C205A1C080A0600082 -S3154001654002BFF5D10310008530BFF5CCC205A1C00D -S315400165509A13601F190000108208400D98132010ED -S3154001656080A0400C12BFF5D79007BFF010BFF5D9F8 -S31540016570921660F0C20461C080A0600022BFF5F3AC -S315400165801910008530BFF5EEC205A1C09A13601FF0 -S31540016590190000108208400D9813201080A0400C6D -S315400165A012BFF5F91B10008510BFF5FB03100085DE -S315400165B0C205A1C080A0600002BFF60B9007BFE8EC -S315400165C030BFF606C205A1C080A0600002BFF61723 -S315400165D01B10008530BFF612C20421C080A06000A6 -S315400165E022BFF03E113C02AF30BFF039C205A1C017 -S315400165F080A0600002BFFD5B0100000030BFFD5777 -S31540016600C205A1C080A0600002BFFD670100000075 -S3154001661030BFFD63C205A1C080A0600002BFFD730B -S315400166200100000030BFFD6FC205A1C080A060001F -S3154001663002BFF2D70310008530BFF2D2C205A1C016 -S3154001664080A0600002BFF2C19007BFF030BFF2BC2C -S31540016650C205A1C080A0600002BFF2AA3710008522 -S3154001666030BFF2A5C205A1C080A0600002BFF028DC -S315400166701111FC0030BFF023C205A1C09A13601F5F -S31540016680190000108208400D9813201080A0400C7C -S3154001669012BFF3DD9016E0E010BFF3DF921660F013 -S315400166A0C205A1C080A0600002BFF39E1B100085F9 -S315400166B030BFF399C205A1C080A0600002BFF38636 -S315400166C01B10008530BFF381C205A1C080A06000C8 -S315400166D002BFF36E1B10008530BFF369C20461C06F -S315400166E080A0600002BFF3589016E0E030BFF3533C -S315400166F0C205A1C080A0600002BFF30E191000853B -S3154001670030BFF309C205A1C080A0600002BFF2F804 -S315400167109007BFE830BFF2F3C20461C080A06000B9 -S3154001672002BFF2E29007BFE830BFF2DD81C7E00861 -S3154001673081E80000D27A000081C3E0080100000030 -S3154001674081C3E008900A20209332600492126001CE -S315400167508213C0007FFFFFF89E1040000100000039 -S3154001676081D8200081C3E008010000009DE3BF9865 -S315400167707FFFFFFC0100000082102400C0A04300FF -S3154001678081C7E00881E80000833220189A1000088A -S315400167908088600F028000049010200083336010CF -S315400167A09008600381C3E008010000009DE3BF98A3 -S315400167B0031000C01B1000C1B0106000A21360009E -S315400167C0031000C21B1000C2A4106000A613610092 -S315400167D0031000201B100020A8106168AA13600056 -S315400167E07FFFEBAD9010200C808A2008028001FECD -S315400167F0010000007FFFAA7D9010200ED08003206B -S315400168007FFFFFE2010000000310014380A2200246 -S31540016810028000C6D02060D080A22002148000E40D -S3154001682080A2200380A22001028000D1821020197B -S31540016830331000A02F1000A0391000A0371000A07F -S31540016840351000A07FFFFFC7210100007FFFFFC871 -S31540016850BA04A00C921000117FFFFFBC90100018E3 -S31540016860921000117FFFFFB990062004901000118D -S315400168707FFFFFB19210200ADA066014110800006A -S31540016880913A000DC205E010900A0001912A2002BA -S3154001689013008000900200117FFFFFA79212600A49 -S315400168A0DA06601411100000913A000DC205E0109D -S315400168B0900A0001912A2002900200117FFFFF9E5B -S315400168C09214208EDA066014111C0000913A000DD4 -S315400168D0C205E010900A0001912A20021301C0006E -S315400168E0900200117FFFFF949212608E9334A004B0 -S315400168F09004600C7FFFFF90921260019214201E5B -S315400169007FFFFF8D9004A0089010001D7FFFFF8A36 -S31540016910921020009334E004921260017FFFFF86BB -S315400169209004A004111000C2901221087FFFFF823B -S3154001693092102000031000E0A01060009334200460 -S31540016940111000C2901221047FFFFF7B9212601A40 -S3154001695003048D1582106278C2242004111000E0D0 -S3154001696015100120C0222000A2102003AC12A00065 -S31540016970A004E00C90047FFD40000A7592102003AC -S315400169801B100143C20360D08200600C932A0001B0 -S315400169909202401693326004901000107FFFFF660A -S315400169A09212601EA204600180A4600A04BFFFF235 -S315400169B0A0042004C206E008D807200C8208600122 -S315400169C08328400CD605E010111000E0D406A00043 -S315400169D0DA06601498122000960AE003972AC00D41 -S315400169E0920AA002111000A0DA022004940AA00122 -S315400169F0952A800D932A400D1B1000209612C00146 -S31540016A00E8236160030100001B0076418210601E8D -S31540016A109A1361C09212C00999332004C2252004F9 -S31540016A20DA2520089612C00A9E13200E913560047D -S31540016A301B1000C2031000C2821061049012201E76 -S31540016A40953620049813201E9A136108D625202CCA -S31540016A50D8252010D025201CD2252020DE2520280F -S31540016A60D6252014940ABFF0FA250000DA2520180D -S31540016A70C2252024C225200CA2102100D4A44320E3 -S31540016A80C2800320D88443209A102001A0102200FE -S31540016A90DAA40320D884032082102000C2A4032054 -S31540016AA0DAA0032081D820007FFFC55901000000EC -S31540016AB0C2800320E2844320E0840320DA05E0100B -S31540016AC0D6066014C206E0089A0B60039B2B400B66 -S31540016AD082086001D407200CD806A000111000A03E -S31540016AE08328400A980B2001D60220049A134001BC -S31540016AF0992B000B9A13400CC203400080A0600002 -S31540016B0012800008821360041B048D15D8004000D2 -S31540016B109A13627880A3000D02800038C205E01006 -S31540016B2010800000010000008210201A331000A0DE -S31540016B30C22660149810203F2F1000A0391000A0E3 -S31540016B40351000A09A1020148210200E151000A0B6 -S31540016B50371000A0DA27200CC222A004D826A000B4 -S31540016B60D825E01010BFFF38D826E0089A10207FBC -S31540016B70331000A02F1000A0C2266014DA25E010C1 -S31540016B809810203F391000A0351000A08210201324 -S31540016B909A10200D111000A0371000A0C227200C1A -S31540016BA0DA22200410BFFFF0D826A00012BFFF2230 -S31540016BB0331000A08210201CC22660149A102015A2 -S31540016BC08210207F391000A0371000A0DA27200C50 -S31540016BD0C226E0089810200F2F1000A0351000A003 -S31540016BE01B1000A08210203FD8236004C226A000BB -S31540016BF010BFFF15D825E010DA06E008D806601464 -S31540016C00820860039A0B6002D607200C8328400C49 -S31540016C109B2B400B8210400DD80040001B100000FA -S31540016C20C203400080A3000102800004D406E008AC -S31540016C301080000001000000C205E010980AA00182 -S31540016C40D207200CD6066014820860038328400BC5 -S31540016C50992B0009940AA0039810400CDA06A0006B -S31540016C60111000A0952A80099A0B6001D6022004D2 -S31540016C708210400AC20040009B2B400B9813000D26 -S31540016C800321D9509813200482106321C2230000A6 -S31540016C90DA03000080A3400102800004C206E00836 -S31540016CA01080000001000000D407200CDA05E01036 -S31540016CB082086001D60660148328400A9A0B600355 -S31540016CC0D806A0009B2B400B151000A0D602A004AD -S31540016CD0980B20019A134001992B000B9813400CF5 -S31540016CE08210000C05048D158410A278072AF37BC7 -S31540016CF08610E301C4384000C438400003048D15B2 -S31540016D00DA0300008210627880A340010280000409 -S31540016D108213200410800000010000001B2AF37B2F -S31540016D20D80040009A13630180A3000D12BFFFFAF9 -S31540016D30C205E010D6066014D806E0088208600352 -S31540016D40D407200C8328400B980B2001DA06A000BB -S31540016D50111000A0992B000A9A0B6003D602200459 -S31540016D608210400C9B2B400B15100143A010400D87 -S31540016D70F002A0D0A2102003E0240000C0A002200F -S31540016D80921020034000097290047FFD8206200C78 -S31540016D9098102001912A0001992B00011B10012016 -S31540016DA09610000182136000DA02000180A34010B0 -S31540016DB0A204600112800088A004000C80A4600A2D -S31540016DC024BFFFEFE0240000C0A0022003100120F1 -S31540016DD0A810000BAA106000A0102000A2102003EA -S31540016DE090047FFD4000095A92102003832C6002D3 -S31540016DF0912A0014DA04C00190020015820B6060EA -S31540016E009132200480A000019012201EA040001063 -S31540016E109A0B7F9F900A3F9F80A340081280007083 -S31540016E20A204600180A4600A24BFFFEF90047FFDA5 -S31540016E3080A4200012800004C205E01010800000EA -S31540016E4001000000D6066014D806E00882086003F7 -S31540016E50D407200CDA06A000111000A08328400BAD -S31540016E60980B2001992B000AD60220049A0B600246 -S31540016E708210400C9B2B400BAA10400D9FC5400031 -S31540016E800100000082102400C0A04300C0A00220DF -S31540016E90A0102000A21020039B2C6002C204C00D4A -S31540016EA08208606080A00001A0400010A204600139 -S31540016EB080A4600A24BFFFFA9B2C600280A42008AC -S31540016EC022800004D004E00410800000010000008C -S31540016ED0808A204002800007010000007FFFFE19E2 -S31540016EE00100000080A22000128000040100000081 -S31540016EF010800000010000007FFFFE12D004A008B0 -S31540016F0080A22000128000040100000010800000D1 -S31540016F10010000007FFFFE0BD004E00880A22000A4 -S31540016F2012800004010000001080000001000000F2 -S31540016F3083480000842860808188A0000100000009 -S31540016F400100000001000000D806E008D407200C2B -S31540016F50DA05E010980B2001D6066014992B000A39 -S31540016F609A0B6003C206A0009B2B400B151000A094 -S31540016F70D602A004820860018328400B9A13400C74 -S31540016F809A1340019A136004C203400092102004F0 -S31540016F9091D02002010000007FFFC41D01000000C6 -S31540016FA0981020009A102200D8A343208210200175 -S31540016FB0C2A34320D8A3432003100000DA804380B4 -S31540016FC0DAA043807FFFC47F9010200081D8200043 -S31540016FD03080000510800000010000001080000094 -S31540016FE00100000081C7E00891E820009DE3BF98B9 -S31540016FF0400007D201000000808A21000280003F44 -S31540017000010000007FFFA88D01000000912A2004A5 -S315400170107FFFA87690022005031001408210604848 -S31540017020DA00600480A3600022800015031001404D -S31540017030B0100001C20600009B38601F81836000CA -S31540017040DA06200401000000010000008278400DAC -S31540017050DA062008B006200C80A0400D028000040C -S31540017060901020017FFFA86601000000C20620049F -S3154001707080A0600012BFFFF0031001408210600043 -S31540017080DA00600480A36000028000150100000060 -S31540017090B0100001C206000081800000DA0620041B -S315400170A001000000010000009A70400D8210000DA1 -S315400170B0DA062008B006200C80A0400D02800004AC -S315400170C0901020027FFFA84E01000000C206200456 -S315400170D080A0600012BFFFF00100000040000825BB -S315400170E00100000080A2200012800004010000007F -S315400170F07FFFA8439010200381C7E00891E8200054 -S315400171009DE3BF987FFFA84D01000000912A20040E -S315400171107FFFA836900220044000078A0100000044 -S3154001712080A2212302800004010000007FFFA834D1 -S31540017130901020014000078101000000808A210053 -S315400171400280002B0310014082106184DA0060083E -S3154001715080A360090280001101000000B010000107 -S31540017160C2060000DA062004D80620088258400DDF -S31540017170B006200C80A0400C028000049010200232 -S315400171807FFFA81F01000000C206200880A06009F9 -S3154001719012BFFFF401000000400007770100000024 -S315400171A080A220000280000F01000000400007631A -S315400171B001000000808A22000280000D01000000CB -S315400171C04000079F0100000080A2200012800008B5 -S315400171D0010000007FFFA80A9010200430800004BF -S315400171E07FFFA8079010200330BFFFF181C7E00859 -S315400171F091E82000000000009DE3BFA0941020000C -S315400172001110005C9012222C1310005C9212623015 -S315400172101710005C9612E2381910005C9813223C54 -S3154001722093C2000081C240001080019181C2C0001A -S3154001723081C300001080018E9402A0019402A00136 -S3154001724080A2A0031280018A010000008744000049 -S315400172508D30E00E8C89A00780A1A000028000C776 -S3154001726001000000AF30E00BAE0DE00780A5E00065 -S31540017270128000C20100000080A1A00212800035E8 -S315400172800100000025100000E41C800025100000CC -S3154001729029100000A8152104A6100012AA100012F8 -S315400172A0AC100014A1802046A4100000AA100000D2 -S315400172B001000000A180204EA8102100010000001D -S315400172C0A18000000100000001000000E83CA03060 -S315400172D082A480131280016682A500161280016481 -S315400172E00100000001000000010000008744000089 -S315400172F08D30E00B8C89A0078CA1A0051280015C22 -S31540017300A180000001000000010000000100000012 -S31540017310E81CA03082A500161280015582A54012B4 -S31540017320A41000001280015201000000010000007B -S31540017330874400008D30E00B8C89A0078CA1A00307 -S315400173401280014B010000001080008C01000000FA -S3154001735080A1A0011280002325100000E41C8000BA -S315400173602510000029100000A8152104A6100012BE -S31540017370AA100012AC100014A1802046A4100000EF -S31540017380AA10000001000000A180204EA810210093 -S3154001739001000000A1800000010000000100000082 -S315400173A001000000E83C800082A480131280013075 -S315400173B082A500161280012E010000000100000086 -S315400173C0874400008D30E00B8C89A0078CA1A00278 -S315400173D012800127010000001080006801000000B2 -S315400173E080A1A00312800065A6100000A210200E05 -S315400173F0A1844000A6100000A1800000A814E0006E -S31540017400AB44000001000000AC14E00001000000A4 -S31540017410AF44000080A5200012800115AA8D6E00A0 -S3154001742080A540001280011280A5A00012800110A3 -S31540017430AF35E00BAE0DE00780A5E0011280010CEF -S3154001744001000000A0100000A1844000A610000029 -S31540017450A1800000E8180000AC100000AE1000004A -S31540017460EC04C000EE04E00480A500161280010081 -S3154001747080A54017128000FE01000000A5440000CF -S31540017480A534A00BA40CA00780A4A001128000F88B -S3154001749001000000A0100000A1844000A6100000D9 -S315400174A0A1800000A210200AA1844000A41000007F -S315400174B0A1800000E8180000AC100000AE100000EA -S315400174C0EC04C012EE04E00480A50016128000E828 -S315400174D080A54017128000E601000000A544000087 -S315400174E0A534A00BA40CA00780A4A002068000E04E -S315400174F00100000021100140A0142200EC1C0000F4 -S31540017500A0042008E81C0000A1844000A610000049 -S31540017510A1800000A210200EA1844000A4100010FA -S31540017520AC100000AE100000A18000000100000078 -S3154001753001000000EC3C8013AC100000AE100000CE -S31540017540E81CC01280A50016128000C980A540170C -S31540017550128000C701000000A5440000A534A00B1D -S31540017560A40CA00780A4A004128000C10100000061 -S3154001757010800002010000008B4440008A09601F10 -S3154001758080A160010280000A8C1000059DE3BFA026 -S315400175908AA1600116BFFFFE0100000081E80000DC -S315400175A08CA1A00116BFFFFE0100000001000000F2 -S315400175B001000000A023A080A02C20078E100010FF -S315400175C0A3480000E2240000C2242004C43C200851 -S315400175D0C83C2010CC3C2018F03C2020F43C20280C -S315400175E0F83C2030FC3C2038D03C2040D43C20485C -S315400175F0D83C2050DC3C2058A5500000E4242060B3 -S315400176008010200882102001841020028610200359 -S31540017610881020048A1020058C10200681900000D5 -S31540017620A42C601F818C8000010000000100000035 -S3154001763001000000030040408210610184100000F7 -S3154001764086100000894440008809201F86100004E6 -S31540017650A010000284004002A210000284004002F1 -S31540017660A410000284004002A610000284004002D9 -S31540017670A810000284004002AA10000284004002C1 -S31540017680AC10000284004002AE10000284004002A9 -S3154001769090100002840040029210000284004002D1 -S315400176A094100002840040029610000284004002B9 -S315400176B098100002840040029A10000284004002A1 -S315400176C09C100002840040029E1000028400400289 -S315400176D081E0000086A0E00116BFFFDE0100000048 -S315400176E003004040821061018410000086100004AE -S315400176F080A400021280003F8400400280A4400220 -S315400177001280003C8400400280A48002128000392D -S315400177108400400280A4C0021280003684004002E8 -S3154001772080A50002128000338400400280A54002F9 -S31540017730128000308400400280A580021280002D14 -S315400177408400400280A5C0021280002A84004002C3 -S3154001775080A20002128000278400400280A24002DB -S31540017760128000248400400280A2800212800021FF -S315400177708400400280A2C0021280001E84004002A2 -S3154001778080A300021280001B8400400280A34002B5 -S31540017790128000188400400280A3800212800015E6 -S315400177A08400400280A3C00212800012840040027D -S315400177B081E0000086A0E00116BFFFCE0100000077 -S315400177C080A020001280000B80A0FFFF12800009DC -S315400177D080A160051280000780A1A00612800005E5 -S315400177E001000000A010000710800006C0242020E0 -S315400177F0A01000079010200110800002D024202004 -S3154001780082100007C400400081888000010000000A -S315400178100100000001000000C4186008C81860108B -S31540017820CC186018F0186020F4186028F8186030F9 -S31540017830FC186038D0186040D4186048D818605099 -S31540017840DC186058E4006060C200600481948000E6 -S31540017850010000000100000001000000A010000727 -S31540017860F004202081C7E00881E8000010BFFFFC3A -S31540017870B01000000100000081D8200081C3E0085B -S31540017880010000001B100144D80361508210200101 -S315400178908328400C1B10014482007FFFD8036154AA -S315400178A082084008932A400C900040098213C00088 -S315400178B07FFFE77D9E104000010000001B10014440 -S315400178C0D8036150821020018328400C1B100144CB -S315400178D082007FFFD8036154932A400C82084008F6 -S315400178E0900040099210000A8213C0007FFFE770A2 -S315400178F09E104000010000001B100144D803615056 -S31540017900821020018328400C1B10014482007FFF16 -S31540017910D8036154932A400C8208400890004009DC -S315400179209210000A8213C0007FFFE7659E10400057 -S31540017930010000001B100144D80361508210200150 -S315400179408328400C1B10014482007FFFD8036154F9 -S3154001795082084008932A400C900040098213C000D7 -S315400179607FFFE7559E104000010000001B100144B7 -S31540017970D803615C821020018328400C1B1001440E -S3154001798082007FFFD803616C932A400C820840082D -S31540017990900040099210000A8213C0007FFFE74C15 -S315400179A09E104000010000001B100144D803615C99 -S315400179B0821020018328400C1B10014482007FFF66 -S315400179C0D803616C932A400C820840089000400914 -S315400179D09210000A8213C0007FFFE7419E104000CB -S315400179E0010000009DE3BF582D100144A210200064 -S315400179F0C205A16080A440011680001BA010200092 -S31540017A002B1001442910014427100144A4102001E0 -S31540017A10D005615C912C8008C205216C90023FFF24 -S31540017A20832C0001900A00187FFFE727900200018E -S31540017A30C204E14C901E0008900A000180A0000893 -S31540017A40A2647FFFA0042001C205A16080A40001B9 -S31540017A5026BFFFF1D005615C80A46000128000035F -S31540017A60B0102000B010200181C7E00881E8000075 -S31540017A701B100144D803615C821020018328400C0D -S31540017A801B10014482007FFFD803616C82084008C5 -S31540017A90932A400C900040098213C0007FFFE70AF9 -S31540017AA09E104000010000001B100144D803615C98 -S31540017AB0821020018328400C1B10014482007FFF65 -S31540017AC0D803616C82084008932A400C9000400913 -S31540017AD08213C0007FFFE7009E10400001000000B6 -S31540017AE0952AA00D0328000094028009D020400069 -S31540017AF09422B000D420600481C3E0080100000054 -S31540017B00033FFFBF821062F89DE38001193FFFBF2B -S31540017B1094132368B407BFF8031000459606800AFC -S31540017B2082106020C222E00C03100044821063E000 -S31540017B30C222E0041B1000449A1363C0033FFFBFF7 -S31540017B40DA26800A90132380331000458210635C45 -S31540017B5094068008981323C0921660008200401E46 -S31540017B60D222E008D4204000B006800C7FFFA59FBA -S31540017B70901020067FFFE6C890102000920A3FF041 -S31540017B807FFFE6C790102000210000307FFFE6C24C -S31540017B9090102000808A001012BFFFFD01000000F6 -S31540017BA07FFFFF36210000307FFFE6BB90102000AB -S31540017BB0808A001012BFFFFD010000007FFFE6B67C -S31540017BC090102000030020408210600F92120001A5 -S31540017BD07FFFE6B3901020007FFFE6AF90102008AC -S31540017BE021100144D02421487FFFE6AB9010200CA0 -S31540017BF0A73A2010993A2014A60CE007980B200FBB -S31540017C00DA042148A8230013A80520089F3B6014E5 -S31540017C10933B60109B3B601894050013A210200112 -S31540017C20AC03200A9E0BE00F96102400AE0B6003B6 -S31540017C309402A00221200000992AC00C1B10014485 -S31540017C408203E00A952C400A9424000A832C4001C1 -S31540017C50D8236168A02400011B100144031001448C -S31540017C60EC23615C972AC00FAA03E00A1B1001446A -S31540017C70D620614403100144EA236154EA2061504D -S31540017C801B10014403100144D423614C920A60073E -S31540017C90A53A2018D0206158153FFFBF932C4009C3 -S31540017CA0A40CA003031001449412A358932C400939 -S31540017CB0A404A0013910014437100144EC20616C41 -S31540017CC09B2C40149402801EE0272164E426E16047 -S31540017CD0AA027FFFDA228000AC85E0010280000C17 -S31540017CE0BB2C4013033FFFBF82106368A00680018F -S31540017CF0A4100016D00400009FC20000A004200476 -S31540017D00A484BFFF32BFFFFDD00400007FFFE662BF -S31540017D1090102000920A3FFC7FFFE6619010200000 -S31540017D20A410200080A4801616800022A2102000F4 -S31540017D30033FFFBFAE106368A610001AA0102000D3 -S31540017D4080A4001636800018A404A0011080000506 -S31540017D50A810001780A4001636800013A404A001C1 -S31540017D60921000107FFFFEC8D004C014820A00158D -S31540017D7080A0401512BFFFF8A0042001C204C01420 -S31540017D8082184008DA0721648208400D80A000016C -S31540017D90A2647FFF80A4001606BFFFF39210001075 -S31540017DA0A404A00180A4801606BFFFE5A604E00452 -S31540017DB07FFFE63990102000A610000890102000A1 -S31540017DC07FFFE6379214E00380A46000028001B190 -S31540017DD001000000833CE00C80886003128000A50E -S31540017DE0030048D1833CE01380886003128001ADD3 -S31540017DF0010000007FFFFEA1210000307FFFE62643 -S31540017E0090102000808A001012BFFFFDA6100008C6 -S31540017E10A4102000C206E16080A480011680000DF6 -S31540017E20832CA00292100012901000187FFFFED002 -S31540017E3094102000A404A001C206E16080A4800140 -S31540017E4006BFFFFA92100012A4102000832CA00254 -S31540017E50A404A001C026000180A4A01E04BFFFFD0A -S31540017E60832CA00282102005C22600009A10200110 -S31540017E70DA26200482102002C22620089A10200306 -S31540017E80DA26200C7FFFFED89010001880A2200031 -S31540017E90028001D301000000C206000080A06005F7 -S31540017EA002800004010000007FFFA4D59010200647 -S31540017EB07FFFFECD9010001880A22000128001C4E1 -S31540017EC001000000A4102000C206E16080A48001E8 -S31540017ED01680000F92100012A00620049410200074 -S31540017EE07FFFFEB290100018921000129010001001 -S31540017EF07FFFFEAE94102000A404A001C206E160FB -S31540017F0080A4800106BFFFF6921000127FFFE5F8BC -S31540017F10901000187FFFE5F6900620207FFFE5F4DC -S31540017F20900620407FFFE5F290062060A4102000D5 -S31540017F30C206E16080A4800106800196A21020005D -S31540017F407FFFA4AF90102008173FFFBF8212E3C006 -S31540017F50940680018212E35C8200401ED81A80009A -S31540017F60C2004000D83840009612E380C206800B1A -S31540017F7080A06005128000068206800BDA0060044C -S31540017F8080A3600102800005A41020007FFFA49C0D -S31540017F9090102009A4102000C206E16080A480014F -S31540017FA016800011A2102000A00620049210001293 -S31540017FB07FFFFEBE90100010901A200180A000089D -S31540017FC0A2647FFFA404A001C206E16080A48001EF -S31540017FD006BFFFF89210001280A4600102800005DE -S31540017FE0833CE0137FFFA4869010200A833CE01374 -S31540017FF0808860031280018082102005C22600001D -S315400180009A102001DA26200482102002C226200876 -S315400180109A102003DA26200C1B3FFFBF9A136358A0 -S315400180209A03401ED00340004000048D9210001D6B -S31540018030032EEEEEA12A2002A21063BBE226001017 -S315400180407FFFFE699010001880A220001280016710 -S3154001805001000000C206001080A040110280000508 -S31540018060030048D17FFFA46690102012030048D137 -S31540018070821061671B226AF3C22620209A1361EFA0 -S31540018080DA262024C20E202080A06001028000044E -S31540018090010000007FFFA45A9010201AC20E202131 -S315400180A080A0602302800004010000007FFFA454E9 -S315400180B09010201BC20E202280A060450280000441 -S315400180C0010000007FFFA44E9010201CC20E202309 -S315400180D080A0606702800004010000007FFFA44881 -S315400180E09010201DC20E202480A0608902800004C9 -S315400180F0010000007FFFA4429010201EC20E2025E1 -S3154001810080A060AB02800004010000007FFFA43C18 -S315400181109010201FC20E202680A060CD0280000450 -S31540018120010000007FFFA43690102020C20E2027B8 -S3154001813080A060EF02800004010000007FFFA430B0 -S3154001814090102021C21620208328601083306010B1 -S3154001815080A0612302800004010000007FFFA42863 -S3154001816090102022DA1620229B2B6010030000116A -S315400181709B3360108210616780A340010280000436 -S31540018180010000007FFFA41E90102023DA16202450 -S315400181909B2B6010030000229B336010821061AB61 -S315400181A080A3400102800004010000007FFFA41467 -S315400181B090102024DA1620269B2B601003000033F2 -S315400181C09B336010821061EF80A34001028000055D -S315400181D0821020307FFFA40A901020258210203083 -S315400181E0C22E2020030C08D1DA06202082106167B6 -S315400181F080A3400102800005821020317FFFA40048 -S315400182009010202782102031C22E2021030C0C51C0 -S31540018210DA0620208210616780A3400102800005B2 -S31540018220821020327FFFA3F6901020288210203240 -S31540018230C22E2022210C0C4CDA0620208214226701 -S3154001824080A3400102800005821020337FFFA3EC0A -S315400182509010202982102033C22E20239A142233D3 -S31540018260C206202080A0400D0280000582102034E5 -S315400182707FFFA3E39010202A82102034C22E2024AF -S31540018280030D2AF3DA062024821061EF80A3400110 -S3154001829002800005821020357FFFA3D99010202B44 -S315400182A082102035C22E2025030D0D73DA062024B7 -S315400182B0821061EF80A340010280000582102036C2 -S315400182C07FFFA3CF9010202C82102036C22E20266D -S315400182D0210D0D4DDA062024821422EF80A34001A0 -S315400182E002800005821020377FFFA3C59010202D04 -S315400182F082102037C22E20279A142237C206202404 -S3154001830080A0400D02800005210000107FFFA3BC24 -S315400183109010202E2100001082142041C2362020C8 -S315400183200310104CDA0620208210623380A34001EC -S3154001833002800005821422437FFFA3B19010202FB3 -S3154001834082142243C236202203101050DA0620201E -S315400183508210624380A34001028000052100001182 -S315400183607FFFA3A7901020302100001182142045E1 -S31540018370C23620240311114DDA06202482106237B9 -S3154001838080A3400102800005821422477FFFA39CFF -S315400183909010203182142247C236202603111151F2 -S315400183A0DA0620248210624780A34001028000043D -S315400183B0010000007FFFA392901020327FFFFD2F26 -S315400183C0A4102000C206E16080A480011680001539 -S315400183D01B100144D403616C96100001A0102000CB -S315400183E080A4001D3680000CA404A001832C800AC1 -S315400183F09B286002992CA01082130010C226000D02 -S31540018400A004200180A4001D06BFFFFC9A0360045E -S31540018410A404A00180A4800B26BFFFF2A010200077 -S315400184207FFFE49D9010200003000010808A000128 -S3154001843012BFFFFC01000000A4102000C206E1604B -S3154001844080A4800116800114921000127FFFFD89DD -S315400184509010001815100144C202A14C900A000167 -S31540018460820E000180A20001A404A00112BFFFF404 -S31540018470901020337FFFA36201000000C206E16035 -S3154001848080A4800106BFFFF29210001230800102E3 -S315400184907FFFA35B9010200110BFFE50833CE00C90 -S315400184A07FFFE47D90102000133FFFF09212603F62 -S315400184B0920A0009A61000087FFFE4799010200077 -S315400184C07FFFE3D0A41020001303C0009214C0091B -S315400184D07FFFE4739010200080A480161680000967 -S315400184E092100012901660007FFFFD049410200048 -S315400184F0A404A00180A4801606BFFFFB92100012BF -S315400185007FFFE3C0010000007FFFE463901020007D -S31540018510913A200A900A200380A220010280000499 -S31540018520010000007FFFA3369010200201000000E9 -S3154001853092102000901660007FFFFCE1941020000D -S31540018540010000007FFFE454901020001303C00097 -S31540018550922A00097FFFE45290102000010000009A -S315400185607FFFE3A8010000007FFFE44B901020004D -S31540018570913A200C900A200380A2200102BFFE1EE0 -S31540018580010000007FFFA31E9010200330BFFE1A9A -S31540018590921000127FFFFD4590100018901A200599 -S315400185A080A00008A2647FFFA404A001C206E16086 -S315400185B080A4800106BFFFF89210001280A46000DB -S315400185C012BFFE63173FFFBF30BFFE5E7FFFA30CA6 -S315400185D09010200710BFFE3DA41020007FFFA30886 -S315400185E09010200530BFFE2D7FFFA305901020116E -S315400185F030BFFE997FFFE42890102000033FFFF033 -S315400186008210603F920A00017FFFE425901020000E -S31540018610033FFFBFA01063809210200094102000FA -S315400186207FFFFCE2900680107FFFE41B9010200044 -S315400186300303C000921200017FFFE419901020004D -S3154001864010800006A4102000900680107FFFFCD702 -S3154001865094102005A404A001C206E16080A4800113 -S3154001866006BFFFFA92100012033FFFBFA0106380BE -S315400186709A102001DA2E801003004000D80680109F -S315400186808210600580A30001028000040100000001 -S315400186907FFFA2DB9010200B7FFFE3FF90102000AD -S315400186A0913A2006900A200380A22001028000040C -S315400186B0010000007FFFA2D29010200C7FFFE3F65D -S315400186C0901020001303C000922A00097FFFE3F4B3 -S315400186D09010200092102000900680107FFFFCB37E -S315400186E0941020007FFFE3EC901020002103C0008E -S315400186F0921200107FFFE3EA901020007FFFE3E62D -S3154001870090102000808A001002BFFFFDA6100008CD -S31540018710A4102000C206E16080A480011680000EEC -S31540018720033FFFBF9A102001A2106380A12B401D79 -S3154001873092100012900680117FFFFC8D94043FFF3A -S31540018740A404A001C206E16080A4800106BFFFFA2D -S31540018750921000121303C000922CC0097FFFE3D090 -S31540018760901020002103C0007FFFE3CB9010200032 -S31540018770808A001012BFFFFD1B004000213FFFBF52 -S3154001878082142380D80680019A13600580A3000DC8 -S3154001879002800004010000007FFFA2999010200D85 -S315400187A07FFFE3BD90102000913A2008900A2003F4 -S315400187B080A2200122800005A01423787FFFA29089 -S315400187C09010200FA0142378940680109810201141 -S315400187D09A102055D83A80007FFFE3AF90102000D1 -S315400187E00303C00092120001920A7F3F7FFFE3AC70 -S315400187F090102000A00680107FFFE3CB9010001060 -S3154001880010800006A4102000900420047FFFFC671E -S3154001881094102055A404A001C206E16080A4800101 -S3154001882006BFFFFA92100012113FFFBF9012237844 -S315400188307FFFE3BD9006800880A2201112800004CC -S3154001884080A2605502800004010000007FFFA26CF7 -S31540018850901020107FFFE39090102000833A20066D -S315400188608208600380A0600102800004A61000080F -S315400188707FFFA26390102010133C3FF09212603F9D -S31540018880920CC0097FFFE3869010200010BFFDDCEB -S31540018890821020057FFFE380901020009212200F66 -S315400188A07FFFE37F9010200081C7E00891E8200018 -S315400188B09DE3BF987FFFFC9301000000B0100008C4 -S315400188C0130020409212600F7FFFE3759010200045 -S315400188D00100000081C7E00881E80000033FFFBFB7 -S315400188E0821063589DE380017FFFFBE40100000095 -S315400188F040000238010000007FFFE3679010200826 -S31540018900A21000087FFFE3649010200C7FFFA24B6A -S31540018910A0100008912A20047FFFA2349002200C67 -S31540018920973C6014833C6018953C2014993C201870 -S3154001893082086003960AE00F820060019602E00A0F -S31540018940A33C6010A13C2010980B2003940AA00F71 -S31540018950A728400B98032001A20C6007A00C200712 -S315400189609402A00A9A102001A2046002A0042002E7 -S31540018970B12B000A03200000AB2B4011A52B401060 -S315400189809620401898057FFF822040139A04BFFF26 -S31540018990AE130001A213400B210000307FFFE33EDE -S315400189A090102000808A001012BFFFFD033FFFBFD9 -S315400189B09A07BFF8821063C0A00340012D15555593 -S315400189C090100018921000107FFFE2C69415A15531 -S315400189D080A220001280004B292AAAAA92100010D8 -S315400189E0901000187FFFE2D3941522AA80A220009E -S315400189F01280004E010000007FFFA210010000001E -S31540018A00912A20047FFFA1F99002200A400001EE3D -S31540018A100100000092152200920C400990100018A6 -S31540018A2094100011961000127FFFE2EB981522AACE -S31540018A3080A2200012800051010000009215A10081 -S31540018A4090100018920C40099410001196100012D3 -S31540018A507FFFE2E19815A15580A2200012800044D3 -S31540018A60010000007FFFA1F501000000912A2004CA -S31540018A707FFFA1DE9002200B901000137FFFE2BD25 -S31540018A809215A15580A220001280003501000000F8 -S31540018A90901000137FFFE2B7921522AA80A2200010 -S31540018AA01280002C010000007FFFA1E401000000BC -S31540018AB0912A20047FFFA1CD900220099010001336 -S31540018AC092100017941000157FFFE2B6961522AA60 -S31540018AD080A220001280001B0100000090100013AC -S31540018AE092100017941000157FFFE2AE9615A1551E -S31540018AF080A2200002800025010000003080000E87 -S31540018B007FFFA1BF90102001292AAAAA9210001026 -S31540018B10901000187FFFE287941522AA80A22000B8 -S31540018B2002BFFFB6010000007FFFA1B590102002F1 -S31540018B3030BFFFB27FFFA1B29010200830800013F2 -S31540018B407FFFA1AF9010200710BFFFE690100013E2 -S31540018B507FFFA1AB9010200630BFFFD47FFFA1A8B5 -S31540018B609010200510BFFFCC901000137FFFA1A4E9 -S31540018B709010200430BFFFBC7FFFA1A190102003BD -S31540018B8010BFFFB09215A1007FFFFB3CB010200043 -S31540018B9040000190010000000100000081C7E0088B -S31540018BA081E800009DE3BF987FFFA18B1100412C16 -S31540018BB0DA062004D80620049A0B6003993B20026A -S31540018BC082102007C2262008BA036001B20B27FF94 -S31540018BD0010000009010200AC02600007FFFA183FB -S31540018BE0010000001700020082103FFFC226000B61 -S31540018BF0032AAAAA1B155555D806000BAC1020000E -S31540018C00821062AA9A136155AA0B000180A5801DA4 -S31540018C1016800038A80B000D9612E020A72E60089A -S31540018C2080A4E0000480000CA2102000832DA00245 -S31540018C3082004018190002009A10000BE820400CEF -S31540018C40EA20400DA204601080A4C01114BFFFFCAD -S31540018C5082006040AC05A00180A5801D06BFFFF2E1 -S31540018C6080A4E000AC10200080A5801D1680002164 -S31540018C7003000200B8106020A72E6008AE1000184D -S31540018C8080A4E00004800017A21020000300020027 -S31540018C90A4060001A005C01CC2048000A204601005 -S31540018CA0A404A04080A0401402800004901020013A -S31540018CB07FFFA15301000000C2040000A004204030 -S31540018CC080A0401502800004901020017FFFA14C36 -S31540018CD00100000080A4C01114BFFFF00100000094 -S31540018CE0AC05A00180A5801D06BFFFE6AE05E004E8 -S31540018CF07FFFA13E9010200CAC10200080A5801D66 -S31540018D0016800088031555559E1061551B000800B5 -S31540018D10032AAAAA9A136004901062AAA72E600891 -S31540018D2080A4E0000480000EA2102000832DA01331 -S31540018D3082004018130008009410000F9610000D91 -S31540018D4098100008D4204009D820400BA2046002A4 -S31540018D5080A4C01114BFFFFC82006008AC05A001CD -S31540018D6080A5801D06BFFFF080A4E000AC10200066 -S31540018D7080A5801D1680006B03155555B8106155A9 -S31540018D801B000800032AAAAAB6136004B41062AAFB -S31540018D90A72E600880A4E00004800019A2102000DC -S31540018DA0832DA013A00040182F000800AA10001C14 -S31540018DB0A810001BA410001AC2040017A2046002E6 -S31540018DC080A0401502800004901020037FFFA10C73 -S31540018DD001000000C2040014A004200880A0401233 -S31540018DE002800004901020037FFFA10501000000CE -S31540018DF080A4C01114BFFFF101000000AC05A00121 -S31540018E0080A5801D06BFFFE580A4E000AC102000D0 -S31540018E1080A5801D16800043030008009E10600453 -S31540018E201B155555032AAAAA9A136155901062AA91 -S31540018E30A72E600880A4E0000480000EA210200046 -S31540018E40832DA01382004018130008009410000FD0 -S31540018E509610000D98100008D620400AD8204009E7 -S31540018E60A204600280A4C01114BFFFFC8200600806 -S31540018E70AC05A00180A5801D06BFFFF080A4E000DF -S31540018E80AC10200080A5801D16800026031555557F -S31540018E90B81061551B2AAAAA03000800A72E60082C -S31540018EA0B61362AAB410600480A4E00004800019DD -S31540018EB0A2102000832DA013A00040182F00080007 -S31540018EC0AA10001CA810001BA410001AC20400120C -S31540018ED0A204600280A04015028000049010200484 -S31540018EE07FFFA0C701000000C2040017A0042008AC -S31540018EF080A0401402800004901020047FFFA0C08F -S31540018F000100000080A4C01114BFFFF10100000060 -S31540018F10AC05A00180A5801D06BFFFE580A4E00049 -S31540018F2082102005C22620081B200000DA260000F8 -S31540018F3081C7E00881E8000081C3E0089144400010 -S31540018F4080800000151001409412A18090102000ED -S31540018F5092102246818000000100000001000000BD -S31540018F6001000000D00280009122400881C3E00840 -S31540018F7001000000818000009010200180902001B6 -S31540018F8080D020011280002C0100000080D23FFFDA -S31540018F90168000290100000080DA3FFF934000005F -S31540018FA080A27FFF128000240100000080D23FFF93 -S31540018FB09348000093326014920A600F80A26008C1 -S31540018FC01280001D010000008180000090102001E8 -S31540018FD0945220049452A0049452A00496A2A04014 -S31540018FE012800015010000008180000090102002CF -S31540018FF080A00000328000109052000880A220021A -S315400190001280000D010000008180000090102002B6 -S3154001901080A0000001000000328000079052000845 -S3154001902080A22002128000040100000081C3E008F2 -S315400190309010200181C3E00890100000131555558A -S3154001904092126155A5824000010000000100000016 -S31540019050010000009544800080A2400A128000432E -S3154001906001000000923A4000A58240000100000044 -S3154001907001000000010000009544800080A2400AE2 -S315400190801280003A010000001100003F901223FFB8 -S31540019090A580000081800000010000000100000061 -S315400190A00100000093F23FFF9A10000993F23FFF3F -S315400190B093F23FFF93F23FFF93F23FFF93F23FFF5D -S315400190C093F23FFF93F23FFF99400000974480009F -S315400190D0153FFC009412A00880A2400A128000238A -S315400190E080A2400B1280002180A320071280001F1E -S315400190F0113FFF809012200180A340081280001B7F -S315400191001100003F901223FFA580000081800000DE -S3154001911001000000010000000100000093FA3FFF3A -S3154001912093FA3FFF93FA3FFF93FA3FFF93FA3FFFCC -S3154001913093FA3FFF93FA3FFF93FA3FFF99400000AE -S315400191409744800080A260081280000880A26008CF -S315400191501280000680A32000128000040100000056 -S3154001916081C3E0089010200181C3E00890100000FF -S31540019170818000009010200280A000003280001003 -S315400191809072000880A220021280000D01000000AA -S31540019190818000009010200280A0000001000000A4 -S315400191A0328000079072000880A2200212800004DB -S315400191B00100000081C3E0089010200181C3E0084E -S315400191C090100000C0A0004081C3E00801000000EB -S315400191D0110020409012200FD0A0004081C3E0082A -S315400191E0010000009DE3BF987FFFE12B901020080E -S315400191F0A21000087FFFE1289010200C833C6014E8 -S315400192009B3A2014A13A2018A33C6018A20C600393 -S31540019210A00C20038208600F9A0B600F8200600A3F -S315400192209A03600AA2046001A0042001A32C400114 -S315400192307FFFFFE5A12C000D7FFFE08E901000110E -S315400192407FFFE09A901000107FFFF98C010000002B -S315400192507FFFFFE081E8000001000000981200094D -S31540019260818200009AAB2FFF02800025988800007A -S315400192709923000999230009992300099923000993 -S315400192809923000999230009992300099923000983 -S315400192909923000999230009992300099923000973 -S315400192A09923000999230009992300099923000963 -S315400192B09923000999230009992300099923000953 -S315400192C09923000999230009992300099923000943 -S315400192D09923000999230009992300099923000933 -S315400192E09923000999230009992300099923000923 -S315400192F09923000081C3E0089140000099230009A9 -S315400193009923000999230009992300099923000902 -S3154001931099230009992300099923000999230009F2 -S3154001932099230009992300099923000999230000EB -S315400193309B400000992B200C9B33601481C3E008AD -S315400193409013400C1080000B86102000809240083C -S31540019350168000088610000880924000168000049E -S315400193608092000016800003922000099020000898 -S315400193709A924000128000059610000891D0200272 -S3154001938081C3E0089010000080A2C00D0A800095BC -S31540019390941000000302000080A2C0010A80002848 -S315400193A09810000080A340011A80000D841020010E -S315400193B09B2B600410BFFFFC980320019A83400D4C -S315400193C01A8000078400A001832860049B33600152 -S315400193D09A034001108000078420A00180A3400B1E -S315400193E00ABFFFF7010000000280000201000000F1 -S315400193F084A0A00106800076010000009622C00DDF -S31540019400941020011080000A01000000952AA00155 -S31540019410068000059B3360019622C00D1080000432 -S315400194209402A0019602C00D9422A00184A0A0013D -S3154001943016BFFFF78092C000308000659B2B600409 -S3154001944080A3400B08BFFFFE988320010280006580 -S31540019450982320018092C000952AA0040680002FFF -S315400194609B33600196A2C00D068000179B336001B5 -S3154001947096A2C00D0680000B9B33600196A2C00DDB -S31540019480068000059B33600196A2C00D10800050F6 -S315400194909402A00F9682C00D1080004D9402A00D3B -S315400194A09682C00D068000059B33600196A2C00DD1 -S315400194B0108000479402A00B9682C00D1080004494 -S315400194C09402A0099682C00D0680000B9B33600171 -S315400194D096A2C00D068000059B33600196A2C00D81 -S315400194E01080003B9402A0079682C00D1080003880 -S315400194F09402A0059682C00D068000059B3360014B -S3154001950096A2C00D108000329402A0039682C00D2F -S315400195101080002F9402A0019682C00D068000178C -S315400195209B33600196A2C00D0680000B9B33600100 -S3154001953096A2C00D068000059B33600196A2C00D20 -S31540019540108000239402BFFF9682C00D1080002038 -S315400195509402BFFD9682C00D068000059B336001D3 -S3154001956096A2C00D1080001A9402BFFB9682C00DD0 -S31540019570108000179402BFF99682C00D0680000B39 -S315400195809B33600196A2C00D068000059B336001A6 -S3154001959096A2C00D1080000E9402BFF79682C00DB0 -S315400195A01080000B9402BFF59682C00D068000051F -S315400195B09B33600196A2C00D108000059402BFF353 -S315400195C09682C00D108000029402BFF198A320013B -S315400195D016BFFFA28092C000268000029602C009F3 -S315400195E08090C000268000029620000B81C3E008CF -S315400195F09010000B9210000890102000941020004B -S31540019600961020008213C000400000039E104000C7 -S31540019610010000009DE3BF9823100143400005F679 -S31540019620901460F40310006DE0006188D004214875 -S3154001963080A220002280002D9004214CD6022004D5 -S3154001964080A2E01F1480001D0100000080A62000BA -S315400196501280000B94022088832AE0029A02E001DC -S3154001966082004008DA222004F2206008400005FA10 -S31540019670901460F410800022B01020008210200166 -S315400196809328400B992AE002DA02A1009A13400975 -S315400196908203000AF6206080F422800C80A6200214 -S315400196A012BFFFEEDA22A100C202A10482104009D4 -S315400196B010BFFFEAC222A10440000013901021907E -S315400196C080A220000280000B96102000C20421488F -S315400196D0C2220000D0242148C0222004C022218871 -S315400196E010BFFFDBC022218C10BFFFD5D0242148FB -S315400196F0400005D9901460F4B0103FFF81C7E008DF -S3154001970081E800009210000803100141D000637007 -S315400197108213C0004000000A9E1040000100000074 -S315400197209210000803100141D00063708213C000FB -S31540019730400002199E104000010000009DE3BF98C1 -S315400197408206600B80A06016A610001818800003E0 -S31540019750A0087FF8A010201080A400199A4020008C -S315400197608334201F8090400D128001B0B01020003C -S31540019770400001B09010001380A421F71880001713 -S315400197809934200939100141821723809804000138 -S31540019790F003200C80A6000C0280004E9F3420036B -S315400197A0C206200494087FFC9A06000AC20360049C -S315400197B082106001D006200CD2062008D222200851 -S315400197C0C2236004D022600C400001A09010001317 -S315400197D010800196B006200880A320001280002840 -S315400197E09F342003391001419B2BE00382172380CC -S315400197F09A0340011080000AF003600C94087FFC34 -S315400198009622801080A2E00F1480010980A2E00018 -S3154001981036BFFFE79A06000AF006200C80A6000D27 -S3154001982032BFFFF7C20620049E03E0012510014125 -S315400198309814A388F003200880A6000C0280005DDE -S31540019840833BE01FC206200494087FFC96228010C9 -S3154001985080A2E00F1480009F80A2E000D823200C54 -S3154001986006800023D82320089A06000AC203600412 -S315400198708210600110BFFFD5C223600483342006E5 -S3154001988080A3200408BFFFD89E00603880A320141F -S3154001989008BFFFD59E03205B8334200C80A3205450 -S315400198A008BFFFD19E00606E8334200F80A32154F0 -S315400198B008BFFFCD9E0060778334201280A32554D4 -S315400198C008BFFFC99E00607C10BFFFC79E10207E67 -S315400198D098062008F003200C80A6000C32BFFFB288 -S315400198E0C206200410BFFFD29E03E00280A2A1FF60 -S315400198F0088000BE9B32A0039732A00980A2E000F7 -S3154001990022800017961723808332A00680A2E004A6 -S31540019910088000129A00603880A2E0140880000F87 -S315400199209A02E05B8332A00C80A2E0540880000BCF -S315400199309A00606E8332A00F80A2E154088000072E -S315400199409A0060778332A01280A2E5540880000312 -S315400199509A00607C9A10207E96172380832B6003A1 -S315400199609000400BD202200880A240082280010FBD -S3154001997099336002C202600482087FFC80A0400ADB -S3154001998028800007D002600CD202600880A24008FD -S3154001999032BFFFFAC2026004D002600CD026200C0E -S315400199A0D2262008F022600CF0222008833BE01FDB -S315400199B08330601E8203C001391001418338600241 -S315400199C0981723809A102001932B4001D803200435 -S315400199D080A2400C1880004BAE172380808B000973 -S315400199E01280000D03100141820BFFFC92024009D7 -S315400199F0808B0009128000079E0060048210000CD3 -S31540019A00920240098088400902BFFFFE9E03E0049E -S31540019A1003100141A2106380A8100011832BE003BB -S31540019A20980040119010000F9A10000C1080000A07 -S31540019A30F003600C94087FFC9622801080A2E00F10 -S31540019A401480007D80A2E0003680008B9A06000AD1 -S31540019A50F006200C80A6000D32BFFFF7C206200497 -S31540019A609E03E001808BE00312BFFFF19A03600879 -S31540019A70808A200398033FF8028000D490023FFF7A -S31540019A80C203200880A0400C02BFFFFB808A20034E -S31540019A9092024009C205200480A2400118800018A4 -S31540019AA03910014180A26000128000068088400979 -S31540019AB010800014AE1723809E03E004808840097D -S31540019AC022BFFFFE9202400910BFFFD6832BE0035F -S31540019AD08214200194060010C2262004D423200CAF -S31540019AE0D42320088212E001D622800BD822A00876 -S31540019AF0C222A00410BFFF35D822A00CAE17238086 -S31540019B00F005E008C2062004AA087FFC80A54010A3 -S31540019B109A4020009625401080A2E00F1480004212 -S31540019B2082102001809340010280002703100143E7 -S31540019B30DA0061982D1001419A04000DC205A378FF -S31540019B40A810001580A07FFFA203601002800004C8 -S31540019B50A406001582046FFFA2087000901000133E -S31540019B60400000C09210001180A23FFF0280000811 -S31540019B70B210000880A200121A8000463B10014331 -S31540019B8080A6001722800044C2076168821723809D -S31540019B90D8006008DA0320049A0B7FFC80A34010AA -S31540019BA0984020009623401080A2E00F04800003D5 -S31540019BB082102001821020008093000112800098BB -S31540019BC00100000098172380F00320088214200129 -S31540019BD0C22620049A0600108212E001C2236004C4 -S31540019BE010BFFEFADA23200898033FF8832B60035F -S31540019BF09000400C9B336002821020018328400D67 -S31540019C00D2022008DA0320049A134001D026200C00 -S31540019C10D2262008F022600CDA23200410BFFF640C -S31540019C20F022200810BFFFC08210200010BFFEFFA7 -S31540019C309E03FFFFD006200CD20620089A1420016D -S31540019C40940600108214A388D2222008D022600CE8 -S31540019C50DA262004D420600CD42060089A12E00150 -S31540019C60D622800BC222A008DA22A00410BFFED75A -S31540019C70C222A00CC203600482106001D006200CEF -S31540019C80D2062008C223600410BFFECFD22220088C -S31540019C90C20761688200401180A640120280004FCF -S31540019CA0C2276168C205A37880A07FFF0280004574 -S31540019CB0C20761689A2640128200400DC227616838 -S31540019CC09A8E600702800005A410200082102008A9 -S31540019CD0A420400DB20640129A0640119A0B6FFF1E -S31540019CE0030000048220400DA4048001901000135B -S31540019CF04000005C9210001280A23FFF2280003F8C -S31540019D00A41020008222001990004012821723805D -S31540019D10DA0761689A03401280A60001F2206008C2 -S31540019D20DA276168028000378212200180A5600F20 -S31540019D3038800005C22660048210200110BFFF94BE -S31540019D40C2266004C20620049A057FF4A80B7FF858 -S31540019D508208600182104014C22620049A0600142B -S31540019D6082102005C223600880A5200F1880002795 -S31540019D70C223600419100143DA076168C2032194C2 -S31540019D8080A3400138800002DA232194191001434F -S31540019D90C203219080A3400138BFFF7DDA23219081 -S31540019DA010BFFF7C821723809A102001C202E00473 -S31540019DB09B2B400C8210400D10BFFEF9C222E004DD -S31540019DC010BFFFC0F225A378C2046004822840096F -S31540019DD010BFFF30C2246004808E6FFF12BFFFB3F5 -S31540019DE0C205A37890044014DA05E00882122001E6 -S31540019DF010BFFFE1C223600410BFFFC390100019DA -S31540019E0010BFFFDDC22660049206200840000062B2 -S31540019E109010001310BFFFD9191001434000000BE9 -S31540019E2090100013B010200081C7E00881E80000BF -S31540019E3011100142901223888213C000400003EEA4 -S31540019E409E1040000100000011100142901223882B -S31540019E508213C000400004009E1040000100000033 -S31540019E609DE3BF9821100144C02421704000020D9A -S31540019E709010001980A23FFF12800006C204217093 -S31540019E8080A060000280000301000000C22600009D -S31540019E9081C7E00891E800089DE3BF987FFFFFE591 -S31540019EA09010001803100141A2106380DA04600883 -S31540019EB0C2036004A0087FFCB2240019B2066FEF0A -S31540019EC0B20E7000B20670009210200080A66FFF9D -S31540019ED00480000A901000187FFFFFE20100000095 -S31540019EE0C20460088200401080A0400892200019F8 -S31540019EF002800005901000187FFFFFD4B0102000AB -S31540019F00308000237FFFFFD790100018822400196C -S31540019F1080A23FFF82106001921020001910014378 -S31540019F200280000B90100018DA046008C223600416 -S31540019F30C203216882204019901000187FFFFFC399 -S31540019F40C223216810800012B01020017FFFFFC597 -S31540019F500100000098100008D6046008A023000BF9 -S31540019F609010001880A4200F04BFFFE4B214200112 -S31540019F7003100141DA0063789A23000D031001436F -S31540019F80DA20616810BFFFDDF222E00481C7E008F4 -S31540019F9081E800009DE3BF9880A660000280009D95 -S31540019FA0A41000187FFFFFA390100018A0067FF8A9 -S31540019FB0D6042004900AFFFE1910014194040008BA -S31540019FC0A2132380DA02A004C204600880A0400ADA -S31540019FD0028000449E0B7FFC808AE001DE22A004C1 -S31540019FE01280000CB0102000F2067FF8A024001960 -S31540019FF082046008DA04200880A340010280007DC3 -S3154001A00090020019D204200CDA226008D223600C97 -S3154001A0108202800FDA006004808B60011280000AA0 -S3154001A0208212200180A62000028000229002000FA9 -S3154001A030DA02A008D202A00CDA226008D223600C10 -S3154001A04082122001C224200480A62000028000053D -S3154001A050D0240008B01000127FFFFF7C81E8000089 -S3154001A06080A221FF18800036973220099B322003B7 -S3154001A07098132380832B60039200400C9B3360022C -S3154001A080821020018328400DD6026008DA0320049D -S3154001A0909A134001D224200CD6242008E022E00C59 -S3154001A0A0DA232004E022600810BFFFECB010001252 -S3154001A0B003100141DA02A0088210638880A340019F -S3154001A0C032BFFFDED202A00CE023600CE023600821 -S3154001A0D0DA242008DA24200C10BFFFDAB010200160 -S3154001A0E0808AE001128000099002000FF2067FF893 -S3154001A0F0A0240019D204200CD6042008D6226008D8 -S3154001A10090020019D222E00C03100141DA00637C6F -S3154001A11098122001E024600880A2000D0ABFFFCFFB -S3154001A120D824200403100143D20061987FFFFF5BCE -S3154001A130901000127FFFFF4581E8000080A2E000F9 -S3154001A140028000169B3220038332200680A2E0045F -S3154001A150088000129A00603880A2E0140880000F3F -S3154001A1609A02E05B8332200C80A2E0540880000B07 -S3154001A1709A00606E8332200F80A2E1540880000766 -S3154001A1809A0060778332201280A2E554088000034A -S3154001A1909A00607C9A10207E94132380832B60035F -S3154001A1A09200400AD602600880A2C00902800013CC -S3154001A1B099336002C202E00482087FFC80A0400815 -S3154001A1C028800007D202E00CD602E00880A2C0092E -S3154001A1D032BFFFFAC202E004D202E00CD224200CC4 -S3154001A1E0D6242008E022E00C10BFFFB0E022600830 -S3154001A1F010BFFF88B01020019A102001C202A004AE -S3154001A2009B2B400C8210400D10BFFFF5C222A004CB -S3154001A21081C7E00881E800009DE3BF98D20640006F -S3154001A22080A2600012800004901000187FFFFF5A40 -S3154001A23081E800007FFFFFF9010000007FFFFF5624 -S3154001A24081E80000010000009DE3BF980310014131 -S3154001A250DA00637080A6000D028000410100000013 -S3154001A260D206204C80A260001280001CA010200063 -S3154001A270D206214880A260002280000FD2062054D7 -S3154001A280A006214C80A240102280000BD206205409 -S3154001A29010800005B2100009F20640007FFFFF3E24 -S3154001A2A09010001880A4001912BFFFFC92100019EB -S3154001A2B0D206205480A260001280002501000000D1 -S3154001A2C0C206203880A060000280002501000000FF -S3154001A2D010800017C206203C832C2002F202400166 -S3154001A2E080A660002280000BA00420019210001974 -S3154001A2F0F20640007FFFFF289010001880A66000FC -S3154001A30012BFFFFC92100019D206204CA004200176 -S3154001A31080A4200E04BFFFF2832C20027FFFFF1E84 -S3154001A3209010001810BFFFD4D20621489FC04000AC -S3154001A33090100018F20622E080A660000280000814 -S3154001A340010000007FFFFFB581E800007FFFFF129B -S3154001A3509010001810BFFFDCC206203881C7E00804 -S3154001A36081E800009DE3BF9880A620000280001D81 -S3154001A37003100141E406214880A4A0002280001375 -S3154001A380C206203CE204A004A2847FFF0C80000A9E -S3154001A390832C600282004012A0006008C2040000C3 -S3154001A3A09FC04000A0043FFCA2847FFF3CBFFFFD4D -S3154001A3B0C2040000E404800080A4A00032BFFFF381 -S3154001A3C0E204A004C206203C80A06000028000078F -S3154001A3D0010000009FC0400090100018308000032B -S3154001A3E010BFFFE5F000637081C7E00881E8000017 -S3154001A3F01080000B861020008092400816800008CD -S3154001A400861A40088092400016800004809200001F -S3154001A4101680000392200009902000089A9240007D -S3154001A420128000059610000891D0200281C3E008F1 -S3154001A4309010000080A2C00D0A8000959410000083 -S3154001A4400302000080A2C0010A8000289810000083 -S3154001A45080A340011A80000D841020019B2B6004CB -S3154001A46010BFFFFC980320019A83400D1A80000714 -S3154001A4708400A001832860049B3360019A03400154 -S3154001A480108000078420A00180A3400B0ABFFFF77C -S3154001A49001000000028000020100000084A0A0012A -S3154001A4A006800076010000009622C00D941020011E -S3154001A4B01080000A01000000952AA00106800005CF -S3154001A4C09B3360019622C00D108000049402A001C6 -S3154001A4D09602C00D9422A00184A0A00116BFFFF7E9 -S3154001A4E08092C000308000659B2B600480A3400BA6 -S3154001A4F008BFFFFE98832001028000659823200152 -S3154001A5008092C000952AA0040680002F9B336001EB -S3154001A51096A2C00D068000179B33600196A2C00D1E -S3154001A5200680000B9B33600196A2C00D0680000594 -S3154001A5309B33600196A2C00D108000509402A00F7B -S3154001A5409682C00D1080004D9402A00D9682C00DDA -S3154001A550068000059B33600196A2C00D108000471E -S3154001A5609402A00B9682C00D108000449402A0096B -S3154001A5709682C00D0680000B9B33600196A2C00DEA -S3154001A580068000059B33600196A2C00D1080003BFA -S3154001A5909402A0079682C00D108000389402A0054F -S3154001A5A09682C00D068000059B33600196A2C00DC0 -S3154001A5B0108000329402A0039682C00D1080002FB5 -S3154001A5C09402A0019682C00D068000179B3360015C -S3154001A5D096A2C00D0680000B9B33600196A2C00D6A -S3154001A5E0068000059B33600196A2C00D10800023B2 -S3154001A5F09402BFFF9682C00D108000209402BFFDD9 -S3154001A6009682C00D068000059B33600196A2C00D5F -S3154001A6101080001A9402BFFB9682C00D108000176D -S3154001A6209402BFF99682C00D0680000B9B336001F0 -S3154001A63096A2C00D068000059B33600196A2C00D0F -S3154001A6401080000E9402BFF79682C00D1080000B59 -S3154001A6509402BFF59682C00D068000059B336001CA -S3154001A66096A2C00D108000059402BFF39682C00DDC -S3154001A670108000029402BFF198A3200116BFFFA2E9 -S3154001A6808092C000268000029422A0018090C000E2 -S3154001A690268000029420000A81C3E0089010000A37 -S3154001A6A019100144DA03217480A360001280000668 -S3154001A6B082034008031001449A106188DA23217409 -S3154001A6C082034008C223217481C3E0089010000D23 -S3154001A6D0A7500000AE1000018334E0012910014368 -S3154001A6E0E8052038A92CC0148215000181E000003C -S3154001A6F081904000010000000100000001000000BF -S3154001A700E03BA000E43BA008E83BA010EC3BA018CE -S3154001A710F03BA020F43BA028F83BA030FC3BA038FE -S3154001A72081E800008210001781C4400081CC80007E -S3154001A730010000000100000001000000A7500000D8 -S3154001A740A92CE0012B100143EA056038AB34C01552 -S3154001A750AA15401481954000010000000100000047 -S3154001A7600100000081E8000081E80000E01BA00034 -S3154001A770E41BA008E81BA010EC1BA018F01BA020AE -S3154001A780F41BA028F81BA030FC1BA03881E0000078 -S3154001A79081E0000081C4400081CC8000A7500000C8 -S3154001A7A02910006CADC5210C0100000027100143A2 -S3154001A7B0A614E018E024C000818C2020010000008E -S3154001A7C001000000010000009DE3BFA09DE3BFA082 -S3154001A7D09DE3BFA09DE3BFA09DE3BFA09DE3BFA0B6 -S3154001A7E09DE3BFA081E8000081E8000081E8000008 -S3154001A7F081E8000081E8000081E8000081E800006E -S3154001A80027100143A614E018C024C000E203A06843 -S3154001A810A4046004E223A064E423A06810800262D9 -S3154001A820AC10000029100142A81523FCC2252000C6 -S3154001A830C8252004E0252010E2252014E42520180F -S3154001A840E825201C81E800008348000082106F0043 -S3154001A8508188602001000000010000000100000025 -S3154001A86009100143C801203881E0000088212001F8 -S3154001A87080A920FF028000030100000001000000C2 -S3154001A88080A1000012BFFFF9010000000910014339 -S3154001A890C801203881E8000080A920FF028000031A -S3154001A8A001000000010000008821200180A1000074 -S3154001A8B012BFFFF90100000081E0000029100142AA -S3154001A8C0A81523FCC8052004C2052000E005201078 -S3154001A8D0E2052014E4052018C025201C818C2000A7 -S3154001A8E001000000010000000100000081C4800059 -S3154001A8F081CCA004A0142F00818C0000010000002F -S3154001A900010000000100000081C4800081CCA00448 -S3154001A91080A6600212800005A8142F00818D0000D8 -S3154001A920B01420203080001F80A6600312800006EC -S3154001A930A80E2F00AA2C2F00A8154014818D0000C7 -S3154001A9403080001880A6600412800008A9480000E3 -S3154001A950A8152040818D0000010000000100000083 -S3154001A960010000003080000F80A6600512800008BB -S3154001A970A9480000A82D2040818D0000010000005B -S3154001A98001000000010000003080000680A660063C -S3154001A990128000030100000030BFFFA391D02000C8 -S3154001A9A081C4800081CCA0049210200381C3E008B9 -S3154001A9B091D020029210200281C3E00891D020025A -S3154001A9C09210200681C3E00891D0200281C3E0089D -S3154001A9D00100000081C3E0080100000081C3E008D6 -S3154001A9E001000000AE25A010A75000002D10006AFE -S3154001A9F0AC15A1F82910006C81C522B401000000F4 -S3154001AA001110014390122024D202000092026001EB -S3154001AA10D2220000932DE008902C2F0092120009BB -S3154001AA20111001439012201CD002000080A00008A2 -S3154001AA302280000292126F00818A6020010000008C -S3154001AA400100000001000000901000174000003195 -S3154001AA509203A06092142F00818A602001000000B9 -S3154001AA600100000001000000111001439012202452 -S3154001AA70D202000092226001D22200001080022DF3 -S3154001AA80AC1000001B1001449A13602C912A20023D -S3154001AA90C2034008C222600C81C3E008D2234008A9 -S3154001AAA09DE3BF98031001448210602C992E6002E9 -S3154001AAB01B100143972E6004D800400C9A13622C58 -S3154001AAC0901000199202C00D80A6601F1480000FDD -S3154001AAD0821020001080000680A320002280000AF8 -S3154001AAE0C2024000D803200C80A3200012BFFFFC05 -S3154001AAF080A300097FFFFFE4F0224000108000039D -S3154001AB0082102000F022400081C7E00891E8000150 -S3154001AB109DE3BF981B10014382136028D80060044F -S3154001AB2080A3001802800044C203602880A620004A -S3154001AB3022800002B010000C03100144A32E200213 -S3154001AB408210602CE000401180A420000280003D6C -S3154001AB500310014310800012A41061A8D2042008FA -S3154001AB60941000199FC30000901000180310014370 -S3154001AB70C20061A080A060001280002B010000008D -S3154001AB80C204801182007FFFC2248011E004200CA0 -S3154001AB9080A420000280002B01000000D8040000A0 -S3154001ABA080A3200022BFFFFBE004200C03100143D9 -S3154001ABB0DA00622880A36000128000089610001215 -S3154001ABC003100143821061A8DA00401180A360009E -S3154001ABD032BFFFF0E004200CDA02C011031001433A -S3154001ABE09A036001C20061A480A0600002BFFFDC3D -S3154001ABF0DA22C0119FC0400001000000D8040000C5 -S3154001AC00D2042008941000199FC300009010001828 -S3154001AC1003100143C20061A080A0600022BFFFDA99 -S3154001AC20C20480119FC040000100000010BFFFD642 -S3154001AC30C2048011DA0060C010BFFFBDB00B601FB7 -S3154001AC4081C7E00881E800008C10000FA74800008A -S3154001AC508B34E0188A09600F80A160030280000CE2 -S3154001AC600B1001438A1160300920000088112070C1 -S3154001AC70C82140000B1001438A1160500920000091 -S3154001AC8088112040C82140001080003990102001D1 -S3154001AC9092102006400001DD0100000080A000085E -S3154001ACA00280003301000000C2022010113FFC0067 -S3154001ACB082084008110003FC8410400890100002ED -S3154001ACC0921020019410200C400001E60100000082 -S3154001ACD080A000080280002601000000400001F625 -S3154001ACE0921000010B1001438A116030D2214000BD -S3154001ACF0901000029210200194102011400001D9B9 -S3154001AD000100000080A00008028000190100000037 -S3154001AD10400001E992100001920260100B100143BC -S3154001AD208A116050D22140009010000292102001F9 -S3154001AD309410200D400001CB0100000080A00008C6 -S3154001AD400280000B01000000400001DB921000016F -S3154001AD500B1001438A116028D2214000D4026010B1 -S3154001AD609532A010940AA00FD42160049E100006CB -S3154001AD7081C3E008010000000310014382106048CE -S3154001AD808210200191D0200081C3E008010000001B -S3154001AD909DE3BF9803100144C20060C8901000189B -S3154001ADA09210001980A0600002800005B0102000BA -S3154001ADB09FC0400001000000B010000881C7E008B4 -S3154001ADC081E800009DE3BF9803100144C20060C4BE -S3154001ADD09010001880A0600002800005B01020008D -S3154001ADE09FC0400001000000B010000881C7E00884 -S3154001ADF081E800009DE3BF9803100144C20060BC96 -S3154001AE009010001880A0600002800005B01020005C -S3154001AE109FC0400001000000B010000881C7E00853 -S3154001AE2081E800009DE3BF9803100144C20060C061 -S3154001AE309010001880A0600002800005B01020002C -S3154001AE409FC0400001000000B010000881C7E00823 -S3154001AE5081E800009DE3BF9803100144C20060B839 -S3154001AE609010001880A0600002800005B0102000FC -S3154001AE709FC0400001000000B010000881C7E008F3 -S3154001AE8081E800009DE3BF9803100144C20060B40D -S3154001AE909010001880A0600002800005B0102000CC -S3154001AEA09FC0400001000000B010000881C7E008C3 -S3154001AEB081E800009DE3BF9803100144C20060B0E1 -S3154001AEC09010001880A0600002800005B01020009C -S3154001AED09FC0400001000000B010000881C7E00893 -S3154001AEE081E800009DE3BF9803100144C20060ACB5 -S3154001AEF0901000189210001980A060000280000591 -S3154001AF00B01020009FC0400001000000B0100008B2 -S3154001AF1081C7E00881E80000010000000310006BD2 -S3154001AF20821063E89FC0400001000000031000004A -S3154001AF3082106000819840000310006C8210606CA2 -S3154001AF409FC04000010000000310006B821063D8CF -S3154001AF509FC04000010000008B4800008B31601803 -S3154001AF608A09600380A16003128000070100000086 -S3154001AF708B4440008B31601C80A14000128000064A -S3154001AF80010000007FFFFF31010000007FFF98773D -S3154001AF90010000009C23A0407FFF981A0100000099 -S3154001AFA08210200191D020000100000029000004F8 -S3154001AFB0A68C001432800003A02C001491D02000EE -S3154001AFC0818C00000100000001000000010000002A -S3154001AFD081C4800081CCA00481C3E0080100000047 -S3154001AFE081C1E00801000000A74800008B34E01849 -S3154001AFF08A09600380A160031280000801000000F5 -S3154001B00021100143A0142040A2102003E224000095 -S3154001B0108B4440001080000821100143A0142040B9 -S3154001B020A2102002E224000021200000E604202490 -S3154001B0308B34E0148A09601F27100143A614E038B7 -S3154001B040CA24C0008A01600127100143A614E034D6 -S3154001B050CA24C00027100143A614E03C8A2160029D -S3154001B060CA24C00081C3E0080100000081C3E00892 -S3154001B07001000000834800008330601882086003A5 -S3154001B08080A0600312800006010000008344400056 -S3154001B0900500000882284002A38040008810000075 -S3154001B0A00910006B81C1231C010000009DE3BF987C -S3154001B0B00310006D1B10006DA01061709A13617032 -S3154001B0C080A4000D1A800010A210000D1080000609 -S3154001B0D0C204000080A400111A80000B0100000088 -S3154001B0E0C204000080A0600002BFFFFBA004200450 -S3154001B0F09FC040000100000080A400112ABFFFFA52 -S3154001B100C204000081C7E00881E80000AA27A0B078 -S3154001B110E0256060E2256064E4256068C2256074CC -S3154001B120C43D6078C83D6080CC3D60888540000064 -S3154001B130C425606CF03D6090F43D6098F83D60A098 -S3154001B140FC3D60A8A8102001A92D0010808D001398 -S3154001B15002800013010000008534E001071001431D -S3154001B160C600E038A72CC0038414C0028408A0FF9F -S3154001B17081E000008190A000E03BA000E43BA008F4 -S3154001B180E83BA010EC3BA018F03BA020F43BA028E4 -S3154001B190F83BA030FC3BA03881E8000081C5A008FF -S3154001B1A09C100015051001438410A19CC400800029 -S3154001B1B080A0800002800004010000009FC0800042 -S3154001B1C09203A060818C20008210200283284010C7 -S3154001B1D005100143C400A03485304002821040026C -S3154001B1E08550000080888001028000208328A001CC -S3154001B1F007100143C600E0388530800382104002C3 -S3154001B200820860FF81906000C203A06C818060006B -S3154001B210F01BA090F41BA098F81BA0A0FC1BA0A8B3 -S3154001B220C203A074C41BA078C81BA080CC1BA088F5 -S3154001B230E003A060E203A064E403A06881E80000A3 -S3154001B240E01BA000E41BA008E81BA010EC1BA01803 -S3154001B250F01BA020F41BA028F81BA030FC1BA03833 -S3154001B2601080000F81E00000C203A06C8180600065 -S3154001B270F01BA090F41BA098F81BA0A0FC1BA0A853 -S3154001B280C203A074C41BA078C81BA080CC1BA08895 -S3154001B290E003A060E203A064E403A068818C20007F -S3154001B2A001000000010000000100000081C44000CF -S3154001B2B081CC8000AA27A0B0C2256074C43D6078C5 -S3154001B2C0C83D6080CC3D608885400000C425606CE7 -S3154001B2D0A8102001A92D0010808D001302800013B3 -S3154001B2E0010000008534E00107100143C600E03843 -S3154001B2F0A72CC0038414C0028408A0FF81E000008B -S3154001B3008190A000E03BA000E43BA008E83BA010F0 -S3154001B310EC3BA018F03BA020F43BA028F83BA03022 -S3154001B320FC3BA03881E8000081C5A0089C100015AF -S3154001B330051001438410A19CC400800080A08000B8 -S3154001B34002800004010000009FC080009203A060BB -S3154001B350818C200082102002832840100510014371 -S3154001B360C400A0348530400282104002855000005E -S3154001B37080888001028000198328A00107100143BB -S3154001B380C600E0388530800382104002820860FFA3 -S3154001B39081906000C203A06C81806000C203A074EA -S3154001B3A0C41BA078C81BA080CC1BA08881E80000E4 -S3154001B3B0E01BA000E41BA008E81BA010EC1BA01892 -S3154001B3C0F01BA020F41BA028F81BA030FC1BA038C2 -S3154001B3D01080000881E00000C203A06C81806000FB -S3154001B3E0C203A074C41BA078C81BA080CC1BA08834 -S3154001B3F0818C2000010000000100000001000000D6 -S3154001B40081C4400081CC8000821000089A10380027 -S3154001B41096102000912AE00598034008D40340087D -S3154001B4209132A01880A20001328000089602E00104 -S3154001B4309132A00C900A2FFF80A2000902800007DA -S3154001B4409410000C9602E00180A2E00728BFFFF3AA -S3154001B450912AE0059410200081C3E0089010000A6B -S3154001B4608210000898102000912B20039A00400872 -S3154001B470D60040089132E01880A2000932800008C7 -S3154001B480980320019132E00C900A2FFF80A2000A16 -S3154001B490028000079610000D9803200180A3200F1B -S3154001B4A028BFFFF3912B20039610200081C3E008AB -S3154001B4B09010000BD4022004173FFC00920A400B67 -S3154001B4C0900A800B9132200C921240081100003FE5 -S3154001B4D0901223F0940A8008952AA0049412800BB6 -S3154001B4E0920A400A81C3E008901000099DE3BF9883 -S3154001B4F00310006D82106158DA007FFC80A37FFF44 -S3154001B50002800009A0007FFC8210000D9FC0400010 -S3154001B510A0043FFCC204000080A07FFF12BFFFFCD5 -S3154001B5200100000081C7E00881E800009DE3BF9863 -S3154001B53081C7E00881E8000000000000000000002B -S3154001B54000000000000000000000000000000000B4 -S3154001B55000000002FFFFFFFF0000000000000000A6 -S3154001B56000000002FFFFFFFF000000000000000096 -S3154001B57040080000000000003CD203AF9EE756168B -S3154001B5803E7AD7F29ABCAF4840050220000000003F -S3154001B590430000009DE3BFA07FFF96D70100000056 -S3154001B5A07FFFFFD30100000081C7E00881E800006A -S3154001B5B09DE3BFA07FFF96B20100000081C7E0086E -S3154001B5C081E80000000000000000000000000000CB -S315400200000000000100000000000000004001B56849 -S315400200102000000000000000000000000000000078 -S315400200200000000000000000000000000000000088 -S315400200300000000000000000000000000000000078 -S315400200400000000000000000000000000000000068 -S315400200500000000000000000000000010000000057 -S315400200600000000000000000000000000000000048 -S315400200700000000000000000000000000000000038 -S315400200800000000000000000000000000000000028 -S315400200900000000000000000000000000000000117 -S315400200A00000000000000000000000000000000008 -S315400200B000000000000000000000000000000000F8 -S315400200C000000000000000000000000000000000E8 -S315400200D000000000000000000000000000000000D8 -S315400200E00000000100000000000000410000000086 -S315400200F0343074693934612B3079676979753035B2 -S315400201007968617035796934682B612B69697978C8 -S315400201106869346B35396A30713930356A6B6F7953 -S3154002012070686F70746A72686961346979302B34A9 -S315400201300000000000000000000000010000000076 -S3154002014040000000000000004008000000000000DF -S31540020150401800000000000080000000000000007F -S315400201600000000000000000BFF000000000000098 -S31540020170BF800000000000000000000000000000F8 -S315400201800000000000000000000000000000000027 -S315400201903FF00000000000004008000000000000A0 -S315400201A03FF0000040000000000000000000000098 -S315400201B03F800000404000000000000000000000B8 -S315400201C000000000000000000000000000000000E7 -S315400201D0000000000000000048000001000000008E -S315400201E0480000000000000046C000000000000079 -S315400201F03FF0000000000000400000000000000048 -S3154002020040080000000000003F800000000000009F -S31540020210529000000000000052900FF807F60DEBD6 -S3154002022052901FE03F61BAD052902FB8D4E30F48A4 -S3154002023052903F81F636B80C52904F3BD03C0A64FE -S3154002024052905EE68EFAD48B52906E825DA8FC2B5B -S3154002025052907E0F66AFED0752908D8DD3B1D9AADB -S3154002026052909CFDCD8ED0095290AC5F7C69A3C85A -S315400202705290BBB307ACAFDB5290CAF8960E710DE3 -S315400202805290DA304D95FB065290E95A539F492CCB -S315400202905290F876CCDF6CD952910785DD689A295F -S315400202A052911687A8AE14A35291257C5187FD0917 -S315400202B052913463FA37014E5291433EC467EFFB83 -S315400202C05291520CD1372FEB529160CE41341D746C -S315400202D052916F8334644DF952917E2BCA46BAB914 -S315400202E052918CC821D6D3E352919B58598F7C9F09 -S315400202F05291A9DC8F6DF1045291B854E0F496A064 -S315400203005291C6C16B2DB8705291D5224AAE2EE19A -S315400203105291E3779B97F4A85291F1C1799CA8FF39 -S31540020320529200000000000052920E33499A21A9CF -S3154002033052921C5B70D9F82452922A788FC76DE587 -S315400203405292388AC0059C28529246921AD4EA4959 -S315400203505292548EB9151E8552926280B347609668 -S315400203605292706821902E9A52927E451BB944C38E -S3154002037052928C17B9337834529299E01118857596 -S315400203805292A79E3A2CD2E65292B5524AE1278E13 -S315400203905292C2FC595456A75292D09C7B54E03E8C -S315400203A05292DE32C66287415292EBBF4FAFDD4B6D -S315400203B05292F9422C23C47E529306BB705AE7C32B -S315400203C05293142B30A929AB52932191811B0A4196 -S315400203D052932EEE7577041652933C42213EE0C963 -S315400203E05293498C97B10540529356CDEBC9B5E22B -S315400203F0529364063044530652937135779C8DCBA3 -S3154002040052937E5BD40F95A152938B79579D3EAB07 -S315400204105293988E1409212E5293A59A1ADBB257FB -S315400204205293B29D7D6356625293BF984CB56C7798 -S315400204305293CC8A99AF54535293D97474F76DF24E -S315400204405293E655EEFE13675293F32F17FE8D0431 -S31540020450529400000000000052940CC8B6D657C20F -S31540020460529419894C2329F052942641CF569572BB -S31540020470529432F24FB01C7A52943F9ADC3F79CE74 -S3154002048052944C3B83E57153529458D455549C1A1A -S31540020490529465655F122FF6529471EEAF76C2C6DC -S315400204A052947E7054AF098952948AEA5CBC935F37 -S315400204B05294975CD57680885294A3C7CC8A358A63 -S315400204C05294B02B4F7C0A885294BC876BA7F6ECA9 -S315400204D05294C8DC2E4239805294D529A457FCFC4A -S315400204E05294E16FDACFF9375294EDAEDE6B10FEDD -S315400204F05294F9E6BBC4ECB3529506177F5491BBAE -S3154002050052951241356CF6E052951E63EA3D95B01E -S3154002051052952A7FA9D2F8EA529536948017481006 -S31540020520529542A278D2D03652954EA99FAC8A0FA6 -S3154002053052955AAA002A9D5A529566A3A5B2E1B18E -S31540020540529572969B8B5CD852957E82ECDABE8D22 -S3154002055052958A68A4A8D9F352959647CDDF1CA531 -S315400205605295A220734903775295ADF29F948CFB24 -S315400205705295B9BE5D52A9DA5295C583B6F7AB0319 -S315400205805295D142B6DBADC55295DCFB673B05DFE2 -S315400205905295E8ADD236A58F5295F45A01D483B41A -S315400205A0529600000000000052960B9FD68A455490 -S315400205B0529617398F2AAA48529622CD337F0FE890 -S315400205C052962E5ACD0C3EBE529639E2653E421B9B -S315400205D0529645640568C1C3529650DFB6C759F470 -S315400205E052965C55827DF1D2529667C57199104BEF -S315400205F05296732F8D0E2F7752967E93DDBC0E73D5 -S31540020600529689F26C6B01D05296954B41CD4293EC -S315400206100BC0A4068F346C9B0BC093731C185447B3 -S315400206200BC083008E183C230BC072AE83A9704A5E -S315400206300BC0627C9CC166FF0BC0526A7ACE64A430 -S315400206400BC04277C0B04ADA0BC032A412B191A0B5 -S315400206500BC022EF168069500BC0135873280473DF -S315400206600BC003DFD10A08480BBFE909B3B04632D3 -S315400206700BBFCA8E711B8E880BBFAC4D32D4143061 -S315400206800BBF8E4553D34B1B0BBF7076318237EF70 -S315400206900BBF52DF2BADF99C0BBF357FA47C936C0D -S315400206A00BBF18570061F5EB0BBEFB64A61545154B -S315400206B00BBEDEA7FE865A2B0BBEC22074D37FBC6E -S315400206C00BBEA5CD763F66690BBE89AE722750F04A -S315400206D00BBE6DC2D9F976230BBE520A212B976CFB -S315400206E00BBE3683BD31CAA20BBE1B2F257575CAFA -S315400206F00BBE000BD34C7BAF0BBDE51941F097FE09 -S315400207000BBDCA56EE76E9D00BBDAFC457C7AB7325 -S315400207100BBD9560FE9616690BBD7B2C65587275AE -S315400207200BBD612610404EC00BBD474D8532E409D4 -S315400207300BBD2DA24BC19EDF0BBD1423ED22D10171 -S315400207400BBCFAD1F42A88E40BBCE1ABED438E80B4 -S315400207500BBCC8B1666884820BBCAFE1EF1D2D01AC -S315400207600BBC973D1867D0EF0BBC7EC274CAC962F8 -S315400207700BBC6671983E29FE0BBC4E4A18298BA9C2 -S315400207800BBC364B8B5DF6DB0BBC1E758A0FECBF82 -S315400207900BBC06C7ADD18E7E0BBBEF41918CE1F609 -S315400207A00BBBD7E2D17E33360BBBC0AB0B2E921BB3 -S315400207B00BBBA999DD6E6B650BBB92AEE8503CA7AD -S315400207C00BBB7BE9CD2362720BBB654A2E6F002CB5 -S315400207D00BBB4ECFAFED00FE0BBB3879F685313FF2 -S315400207E00BBB2248A8486FDE0BBB0C3B6C6BF73B3E -S315400207F00BBAF651EB44BCEE0BBAE08BCE42E7F1B4 -S315400208000BBACAE8BFED5CC00BBAB5686BDD5EDDFC -S315400208100BBAA00A7EBA475E0BBA8ACEA6354FEB12 -S315400208200BBA75B4910571DB0BBA60BBEEE358EFB8 -S315400208300BBA4BE4708569410BBA372DC79BD7FE7D -S315400208400BBA2297A6CCD68C0BBA0E21C1B0CFA03A -S315400208500BB9F9CBCCCEB6050BB9E5957D98648B31 -S315400208600BB9D17E8A670EE70BB9BD86AA77C3104C -S315400208700BB9A9AD95E7FAC40BB995F305B23CE5B8 -S315400208800BB98256B3AACE570BB96ED85A7C7206AA -S315400208900BB95B77B5A537C80BB94834817359CCC8 -S315400208A00BB9350E7B02284D0BB922056037032E54 -S315400208B00BB90F18EFBE614A0BB8FC48E908E522AE -S315400208C00BB8E9950C487EA90BB8D6FD1A6D99E886 -S315400208D00BB8C480D5245A340BB8B21FFED1E1BC42 -S315400208E00BB89FDA5A91A5260BB88DAFAC32CB0A1C -S315400208F00BB87B9FB83596F60BB869AA43C8DFE1B9 -S315400209000BB857CF14C791B50BB8460DF1B639C6D9 -S315400209100BB83466A1C09DF90BB822D8ECB75E6E0F -S315400209200BB811649B0DA16B0BB8000975D6C9595A -S315400209300BB7EEC746C434A50BB7DD9DD823075285 -S315400209400BB7CC8CF4D9FE010BB7BB9468674A50FF -S315400209500BB7AAB3FEDE78540BB799EB84E65D0C6F -S315400209600BB7893AC7B70D960BB778A19517DF0132 -S315400209700BB7681FBB5D6E910BB757B50967B24C93 -S315400209800BB747614EA011A20BB737245AF786140C -S315400209900BB726FDFEE4C3A00BB716EE0B6268E862 -S315400209A00BB706F451ED36CE0BB6F710A4824F8044 -S315400209B00BB6E742D59D7CB40BB6D78AB8377D0EC7 -S315400209C00BB6C7E81FC458720BB6B85AE031BB32F1 -S315400209D00BB6A8E2CDE557F90BB6997FBDBB50459C -S315400209E00BB68A318504A35C0BB67AF7F985A39BCD -S315400209F00BB66BD2F17471FF0BB65CC243777FCEF6 -S31540020A000BB64DC5C6A416420BB63EDD527CE4116A -S31540020A100BB63008BEF090CF0BB62147E45855EBE3 -S31540020A200BB6129A9B769D520BB60400BD75A584F1 -S31540020A300BB5F57A23E62B070BB5E706A8BE172CAE -S31540020A400BB5D8A6265733FF0BB5CA58776DE54B7B -S31540020A500BB5BC1D771FE6AB0BB5ADF500EB0E78BB -S31540020A600BB59FDEF0AC15940BB591DB229D63F27C -S31540020A700BB583E97355E1C10BB57609BFC7CD32D4 -S31540020A800BB5683BE53F94B80BB55A7FC162B5B624 -S31540020A900BB54CD5322E9F7F0BB53F3C15F79AA12D -S31540020AA00BB531B44B67B45A0BB5243DB17DAE306C -S31540020AB00BB516D8278BF18F0BB509838D37876710 -S31540020AC00BB4FC3FC27713AE0BB4EF0CA791D4BB69 -S31540020AD00BB4E1EA1D1EA6680BB4D4D8040308E59C -S31540020AE00BB4C7D63D722B370BB4BAE4AAEBF9481E -S31540020AF00BB4AE032E3C2D7E0BB4A131A97965C948 -S31540020B000BB4946FFF043C1C0BB487BE118662364D -S31540020B100BB47B1BC3F1C0BF0BB46E88F97F999BA4 -S31540020B200BB4620595AFAD730BB455917C476454D3 -S31540020B300BB4492C9150F96C0BB43CD6B91AA9C8DE -S31540020B400BB4308FD835E60B0BB42457D3768716C1 -S31540020B500BB4182E8FF205900BB40C13F2FEB43B75 -S31540020B600BB40007E232FD1F0BB3F40A4364A167DC -S31540020B700BB3E81AFCA7FBF00BB3DC39F44F468AF9 -S31540020B800BB3D06710E9E1C30BB3C4A239439F4FFD -S31540020B900BB3B8EB54640EF10BB3AD42498DCDDFC6 -S31540020BA00BB3A1A7003DD89B0BB39619602ADF2B46 -S31540020BB00BB38A9951449BB80BB37F26BBB32B79AF -S31540020BC00BB373C187D669EA0BB368699E454E4437 -S31540020BD00BB35D1EE7CD4B2D0BB351E14D71B09872 -S31540020BE00BB346B0B86B0FC30BB33B8D1226A15A5B -S31540020BF00BB330764445ADAC0BB3256C389CF6EB63 -S31540020C000BB31A6ED934256E0BB30F7E104535F5EC -S31540020C100BB30499C83BE9D60BB2F9C1EBB53923FC -S31540020C200BB2EEF6657EC6AA0BB2E437209655D5D0 -S31540020C300BB2D984082942630BB2CEDD0793F9E79A -S31540020C400BB2C4420A6177190BB2B9B2FC4ABEDA98 -S31540020C500BB2AF2FC9365EFF0BB2A4B85D37EEC1F9 -S31540020C600BB29A4CA48F90E30BB28FEC8BA9777997 -S31540020C700BB28597FF1D694D0BB27B4EEBAE48DD3D -S31540020C800BB271113E499CEE0BB266DEE4071AA81E -S31540020C900BB25CB7CA2831380BB2529BDE1796F7B5 -S31540020CA00BB2488B0D68D8030BB23E8545D7E65A40 -S31540020CB00BB2348A7548AB540BB22A9A89C69A97B4 -S31540020CC00BB220B5718446610BB216DB1ADAF53FD8 -S31540020CD00BB20D0B744A39100BB203466C77876C14 -S31540020CE00BB1F98BF22DD3490BB1EFDBF45D27FF44 -S31540020CF00BB1E636621A457E0BB1DC9B2A9E3DD588 -S31540020D000BB1D30A3D4613EE0BB1C98389925B817F -S31540020D100BB1C006FF26DA3F0BB1B6948DCA2A281C -S31540020D200BB1AD2C25655D0F0BB1A3CDB603A13D2D -S31540020D300BB19A792FD1E7410BB1912E831E88D2FE -S31540020D400BB187EDA058F0D60BB17EB6781144733D -S31540020D500BB17588FAF80D3A0BB16C6519DDE45D95 -S31540020D600BB1634AC5B31EEF0BB15A39EF877B28E5 -S31540020D700BB151328889CEAE0BB148348207B5DB0E -S31540020D800BB13F3FCD6D43FF0BB136545C44B49A31 -S31540020D900BB12D7220361D870BB124990B07221BEE -S31540020DA00BB11BC90E9AA72D0BB113021CF0880F6B -S31540020DB00BB10A4428254C650BB1018F2271DEE541 -S31540020DC00BB0F8E2FE2B44F40BB0F03FADC2572312 -S31540020DD00BB0E7A523C37A850BB0DF1352D65AD997 -S31540020DE00BB0D68A2DBDA58C0BB0CE09A756C589A8 -S31540020DF00BB0C591B2999FDB0BB0BD2242985115FB -S31540020E000BB0B4BB4A7EEB870BB0AC5CBD933636B7 -S31540020E1065300000653010006530200065303000D6 -S31540020E2065304000653050006530600065307000C6 -S31540020E3065308000653090006530A0006530B000B6 -S31540020E406530C0006530D0006530E0006530F000A6 -S31540020E506531000065311000653120006531300092 -S31540020E606531400065315000653160006531700082 -S31540020E7065318000653190006531A0006531B00072 -S31540020E806531C0006531D0006531E0006531F00062 -S31540020E90653200006532100065322000653230004E -S31540020EA0653240006532500065326000653270003E -S31540020EB065328000653290006532A0006532B0002E -S31540020EC06532C0006532D0006532E0006532F0001E -S31540020ED0653300006533100065332000653330000A -S31540020EE065334000653350006533600065337000FA -S31540020EF065338000653390006533A0006533B000EA -S31540020F006533C0006533D0006533E0006533F000D9 -S31540020F1065340000653410006534200065343000C5 -S31540020F2065344000653450006534600065347000B5 -S31540020F3065348000653490006534A0006534B000A5 -S31540020F406534C0006534D0006534E0006534F00095 -S31540020F506535000065351000653520006535300081 -S31540020F606535400065355000653560006535700071 -S31540020F7065358000653590006535A0006535B00061 -S31540020F806535C0006535D0006535E0006535F00051 -S31540020F90653600006536100065362000653630003D -S31540020FA0653640006536500065366000653670002D -S31540020FB065368000653690006536A0006536B0001D -S31540020FC06536C0006536D0006536E0006536F0000D -S31540020FD065370000653710006537200065373000F9 -S31540020FE065374000653750006537600065377000E9 -S31540020FF065378000653790006537A0006537B000D9 -S315400210006537C0006537D0006537E0006537F000C8 -S3154002101065380000653810006538200065383000B4 -S3154002102065384000653850006538600065387000A4 -S3154002103065388000653890006538A0006538B00094 -S315400210406538C0006538D0006538E0006538F00084 -S315400210506539000065391000653920006539300070 -S315400210606539400065395000653960006539700060 -S3154002107065398000653990006539A0006539B00050 -S315400210806539C0006539D0006539E0006539F00040 -S31540021090653A0000653A1000653A2000653A30002C -S315400210A0653A4000653A5000653A6000653A70001C -S315400210B0653A8000653A9000653AA000653AB0000C -S315400210C0653AC000653AD000653AE000653AF000FC -S315400210D0653B0000653B1000653B2000653B3000E8 -S315400210E0653B4000653B5000653B6000653B7000D8 -S315400210F0653B8000653B9000653BA000653BB000C8 -S31540021100653BC000653BD000653BE000653BF000B7 -S31540021110653C0000653C1000653C2000653C3000A3 -S31540021120653C4000653C5000653C6000653C700093 -S31540021130653C8000653C9000653CA000653CB00083 -S31540021140653CC000653CD000653CE000653CF00073 -S31540021150653D0000653D1000653D2000653D30005F -S31540021160653D4000653D5000653D6000653D70004F -S31540021170653D8000653D9000653DA000653DB0003F -S31540021180653DC000653DD000653DE000653DF0002F -S31540021190653E0000653E1000653E2000653E30001B -S315400211A0653E4000653E5000653E6000653E70000B -S315400211B0653E8000653E9000653EA000653EB000FB -S315400211C0653EC000653ED000653EE000653EF000EB -S315400211D0653F0000653F1000653F2000653F3000D7 -S315400211E0653F4000653F5000653F6000653F7000C7 -S315400211F0653F8000653F9000653FA000653FB000B7 -S31540021200653FC000653FD000653FE000653FF000A6 -S315400212104200000000000000400FFFFFFFFFFFFFFB -S315400212204200000000200000420FFFFFFFFFFFFFC9 -S3154002123040000000000000004210000000080000CC -S3154002124042000000000000013EB000000000000124 -S315400212504200000000000002420F484C0137D2080B -S31540021260C20E780F256007AB41BA079B7AF94BA0AD -S315400212704201484C0137D208420E780F256007AB2F -S315400212804217E02D934BECDA420F484C0137D20815 -S31540021290C21E780F256007ABC20DA7D249883D4EC4 -S315400212A0421F484C0137D208C20E780F256007AB61 -S315400212B042100C446E87CE32C03340AB371208918F -S315400212C00000000000000000C03340AB3712089116 -S315400212D00000000000000000C29E7A0F236007A6AD -S315400212E0C29E7A0F236007A66F3F484C0137D20849 -S315400212F06E2E780F256007AB6F3F485B3D3F64B863 -S315400213006F3F484C0137D208EE2E780F256007AB67 -S315400213106F3F483CC5303F587FE2F780AB123809F1 -S315400213207FD00000000000007FEAF780AB12380948 -S31540021330002000000000000080280000000000009D -S3154002134080100000000000007FEFF780AB123809E2 -S315400213507FEFF2010203A1117FF0000000000000BE -S315400213600010000000001000801FFFFFF203A111D1 -S315400213708000000000000000001ABCD000023809BC -S31540021380801ABCD0000001110000000000000000DD -S315400213907E71000000000000416010000000000065 -S315400213A07FE11100000000000178100000000000FB -S315400213B03E880000FFF0000000120C00C073F800E7 -S315400213C0C1EFFFFFC00020003FB3C75D224F280F89 -S315400213D0C1B3C75CFAC08192A12FFF8000001FFFF4 -S315400213E03EE0000000FF0000A01FFF8001FE18073C -S315400213F041CFFFFE0000002040303FFFFFFFFFFDD0 -S3154002140042103FFEFC00000D3FD000003FEFFFFFC1 -S31540021410BFD0000010000000BFB000004FF0003FF8 -S3154002142001701000000000003E8000011A0000001A -S3154002143000000000000000007E7C0000000000006A -S31540021440416A1000010000107FF000000000000019 -S3154002145075012034056AC000FA1009091000104FC0 -S31540021460FFF00000000000000100203040030200AF -S31540021470003020340000A00B0000000000000000F5 -S315400214807FE0001010200001400000000010200AFA -S315400214907FF00000000000003FEFDFF00FFC484AFB -S315400214A0BFF80000000000007FFF000000000000BF -S315400214B07FFFE000000000007FF400000000000013 -S315400214C07FC00000000000007FF80000000000001E -S315400214D07FF0000000000000FFF000000000000066 -S315400214E0FFF0000000000000800000000000000045 -S315400214F000000000000100000000000000000000A3 -S315400215000000000000000000000000000000000093 -S315400215100000000000000000000000000000000083 -S315400215200000000000000000000000000000000073 -S315400215300000000000000000000000000000000063 -S315400215400000000000000000000000000000000053 -S315400215500000000000000000000000000000000043 -S315400215600000000000000000000000000000000033 -S315400215700000000000000000000000000000000023 -S315400215800000000000000000000000000000000013 -S315400215900000000000000000000000000000000003 -S315400215A000000000000000000000000000000000F3 -S315400215B000000000000000000000000000000000E3 -S315400215C000000000000000000000000000000000D3 -S315400215D000000000000000000000000000000000C3 -S315400215E000000000000000000000000000000000B3 -S315400215F000000000000000000000000000000000A3 -S315400216000000000000000000000000000000000092 -S315400216100000000000000000000000000000000082 -S315400216200000000000000000000000000000000072 -S315400216300000000000000000000000000000000062 -S315400216400000000000000000000000000000000052 -S315400216500000000000000000000000000000000042 -S315400216600000000000000000000000000000000032 -S315400216700000000000000000000000000000000022 -S315400216800000000000000000000000000000000012 -S315400216900000000000000000000000000000000002 -S315400216A000000000000000000000000000000000F2 -S315400216B000000000000000000000000000000000E2 -S315400216C000000000000000000000000000000000D2 -S315400216D000000000000000000000000000000000C2 -S315400216E000000000000000000000000000000000B2 -S315400216F000000000000000000000000000000000A2 -S315400217000000000000000000000000000000000091 -S315400217100000000000000000000000000000000081 -S315400217200000000000000000000000000000000071 -S315400217300000000000000000000000000000000061 -S315400217400000000000000000000000000000000051 -S315400217500000000000000000000000000000000041 -S315400217600000000000000000000000000000000031 -S315400217700000000000000000000000000000000021 -S315400217800000000000000000000000000000000011 -S315400217900000000000000000000000000000000001 -S315400217A000000000000000000000000000000000F1 -S315400217B000000000000000000000000000000000E1 -S315400217C000000000000000000000000000000000D1 -S315400217D000000000000000000000000000000000C1 -S315400217E000000000000000000000000000000000B1 -S315400217F000000000000000000000000000000000A1 -S315400218000000000000000000000000000000000090 -S315400218100000000000000000000000000000000080 -S315400218200000000000000000000000000000000070 -S315400218300000000000000000000000000000000060 -S315400218400000000000000000000000000000000050 -S315400218500000000000000000000000000000000040 -S315400218600000000000000000000000000000000030 -S315400218700000000000000000000000000000000020 -S315400218800000000000000000000000000000000010 -S315400218900000000000000000000000000000000000 -S315400218A000000000000000000000000000000000F0 -S315400218B000000000000000000000000000000000E0 -S315400218C000000000000000000000000000000000D0 -S315400218D000000000000000000000000000000000C0 -S315400218E000000000000000000000000000000000B0 -S315400218F000000000000000000000000000000000A0 -S31540021900000000000000000000000000000000008F -S31540021910000000000000000000000000000000007F -S31540021920000000000000000000000000000000006F -S31540021930000000000000000000000000000000005F -S31540021940000000000000000000000000000000004F -S31540021950000000000000000000000000000000003F -S31540021960000000000000000000000000000000002F -S31540021970000000000000000000000000000000001F -S31540021980000000000000000000000000000000000F -S3154002199000000000000000000000000000000000FF -S315400219A000000000000000000000000000000000EF -S315400219B000000000000000000000000000000000DF -S315400219C000000000000000000000000000000000CF -S315400219D000000000000000000000000000000000BF -S315400219E000000000000000000000000000000000AF -S315400219F0000000000000000000000000000000009F -S31540021A00000000000000000000000000000000008E -S31540021A10000000000000000000000000000000007E -S31540021A20000000000000000000000000000000006E -S31540021A30000000000000000000000000000000005E -S31540021A40000000000000000000000000000000004E -S31540021A50000000000000000000000000000000003E -S31540021A60000000000000000000000000000000002E -S31540021A70000000000000000000000000000000001E -S31540021A80000000000000000000000000000000000E -S31540021A9000000000000000000000000000000000FE -S31540021AA000000000000000000000000000000000EE -S31540021AB000000000000000000000000000000000DE -S31540021AC000000000000000000000000000000000CE -S31540021AD000000000000000000000000000000000BE -S31540021AE000000000000000000000000000000000AE -S31540021AF0000000000000000000000000000000009E -S31540021B00000000000000000000000000000000008D -S31540021B10000000000000000000000000000000007D -S31540021B20000000000000000000000000000000006D -S31540021B30000000000000000000000000000000005D -S31540021B40000000000000000000000000000000004D -S31540021B50000000000000000000000000000000003D -S31540021B60000000000000000000000000000000002D -S31540021B70000000000000000000000000000000001D -S31540021B80000000000000000000000000000000000D -S31540021B9000000000000000000000000000000000FD -S31540021BA000000000000000000000000000000000ED -S31540021BB000000000000000000000000000000000DD -S31540021BC000000000000000000000000000000000CD -S31540021BD000000000000000000000000000000000BD -S31540021BE000000000000000000000000000000000AD -S31540021BF0000000000000000000000000000000009D -S31540021C00000000000000000000000000000000008C -S31540021C10000000000000000000000000000000007C -S31540021C20000000000000000000000000000000006C -S31540021C30000000000000000000000000000000005C -S31540021C40000000000000000000000000000000004C -S31540021C50000000000000000000000000000000003C -S31540021C60000000000000000000000000000000002C -S31540021C70000000000000000000000000000000001C -S31540021C80000000000000000000000000000000000C -S31540021C9000000000000000000000000000000000FC -S31540021CA000000000000000000000000000000000EC -S31540021CB000000000000000000000000000000000DC -S31540021CC000000000000000000000000000000000CC -S31540021CD000000000000000000000000000000000BC -S31540021CE000000000000000000000000000000000AC -S31540021CF0000000000000000000000000000000009C -S31540021D00000000000000000000000000000000008B -S31540021D10000000000000000000000000000000007B -S31540021D20000000000000000000000000000000006B -S31540021D30000000000000000000000000000000005B -S31540021D40000000000000000000000000000000004B -S31540021D50000000000000000000000000000000003B -S31540021D60000000000000000000000000000000002B -S31540021D70000000000000000000000000000000001B -S31540021D80000000000000000000000000000000000B -S31540021D9000000000000000000000000000000000FB -S31540021DA000000000000000000000000000000000EB -S31540021DB000000000000000000000000000000000DB -S31540021DC000000000000000000000000000000000CB -S31540021DD000000000000000000000000000000000BB -S31540021DE000000000000000000000000000000000AB -S31540021DF0000000000000000000000000000000009B -S31540021E00000000000000000000000000000000008A -S31540021E10000000000000000000000000000000007A -S31540021E20000000000000000000000000000000006A -S31540021E30000000000000000000000000000000005A -S31540021E40000000000000000000000000000000004A -S31540021E50000000000000000000000000000000003A -S31540021E60000000000000000000000000000000002A -S31540021E70000000000000000000000000000000001A -S31540021E80000000000000000000000000000000000A -S31540021E9000000000000000000000000000000000FA -S31540021EA000000000000000000000000000000000EA -S31540021EB000000000000000000000000000000000DA -S31540021EC000000000000000000000000000000000CA -S31540021ED000000000000000000000000000000000BA -S31540021EE000000000000000000000000000000000AA -S31540021EF0000000000000000000000000000000009A -S31540021F000000000000000000000000000000000089 -S31540021F100000000000000000000000000000000079 -S31540021F200000000000000000000000000000000069 -S31540021F300000000000000000000000000000000059 -S31540021F400000000000000000000000000000000049 -S31540021F500000000000000000000000000000000039 -S31540021F600000000000000000000000000000000029 -S31540021F700000000000000000000000000000000019 -S31540021F800000000000000000000000000000000009 -S31540021F9000000000000000000000000000000000F9 -S31540021FA000000000000000000000000000000000E9 -S31540021FB000000000000000000000000000000000D9 -S31540021FC000000000000000000000000000000000C9 -S31540021FD000000000000000000000000000000000B9 -S31540021FE000000000000000000000000000000000A9 -S31540021FF00000000000000000000000000000000099 -S315400220000000000000000000000000000000000088 -S315400220100000000000000000000000000000000078 -S315400220200000000000000000000000000000000068 -S315400220300000000000000000000000000000000058 -S315400220400000000000000000000000000000000048 -S315400220500000000000000000000000000000000038 -S315400220600000000000000000000000000000000028 -S315400220700000000000000000000000000000000018 -S315400220800000000000000000000000000000000008 -S3154002209000000000000000000000000000000000F8 -S315400220A000000000000000000000000000000000E8 -S315400220B000000000000000000000000000000000D8 -S315400220C000000000000000000000000000000000C8 -S315400220D000000000000000000000000000000000B8 -S315400220E000000000000000000000000000000000A8 -S315400220F00000000000000000000000000000000098 -S315400221000000000000000000000000000000000087 -S315400221100000000000000000000000000000000077 -S315400221200000000000000000000000000000000067 -S315400221300000000000000000000000000000000057 -S315400221400000000000000000000000000000000047 -S315400221500000000000000000000000000000000037 -S315400221600000000000000000000000000000000027 -S315400221700000000000000000000000000000000017 -S315400221800000000000000000000000000000000007 -S3154002219000000000000000000000000000000000F7 -S315400221A000000000000000000000000000000000E7 -S315400221B000000000000000000000000000000000D7 -S315400221C000000000000000000000000000000000C7 -S315400221D000000000000000000000000000000000B7 -S315400221E000000000000000000000000000000000A7 -S315400221F00000000000000000000000000000000097 -S315400222000000000000000000000000000000000086 -S315400222100000000000000000000000000000000076 -S315400222200000000000000000000000000000000066 -S315400222300000000000000000000000000000000056 -S315400222400000000000000000000000000000000046 -S315400222500000000000000000000000000000000036 -S315400222600000000000000000000000000000000026 -S315400222700000000000000000000000000000000016 -S315400222800000000000000000000000000000000006 -S3154002229000000000000000000000000000000000F6 -S315400222A000000000000000000000000000000000E6 -S315400222B000000000000000000000000000000000D6 -S315400222C000000000000000000000000000000000C6 -S315400222D000000000000000000000000000000000B6 -S315400222E000000000000000000000000000000000A6 -S315400222F00000000000000000000000000000000096 -S315400223000000000000000000000000000000000085 -S315400223100000000000000000000000000000000075 -S315400223200000000000000000000000000000000065 -S315400223300000000000000000000000000000000055 -S315400223400000000000000000000000000000000045 -S315400223500000000000000000000000000000000035 -S315400223600000000000000000000000000000000025 -S315400223700000000000000000000000000000000015 -S315400223800000000000000000000000000000000005 -S3154002239000000000000000000000000000000000F5 -S315400223A000000000000000000000000000000000E5 -S315400223B000000000000000000000000000000000D5 -S315400223C000000000000000000000000000000000C5 -S315400223D000000000000000000000000000000000B5 -S315400223E000000000000000000000000000000000A5 -S315400223F00000000000000000000000000000000095 -S315400224000000000000000000000000000000000084 -S315400224100000000000000000000000000000000074 -S315400224200000000000000000000000000000000064 -S315400224300000000000000000000000000000000054 -S315400224400000000000000000000000000000000044 -S315400224500000000000000000000000000000000034 -S315400224600000000000000000000000000000000024 -S315400224700000000000000000000000000000000014 -S315400224800000000000000000000000000000000004 -S3154002249000000000000000000000000000000000F4 -S315400224A000000000000000000000000000000000E4 -S315400224B000000000000000000000000000000000D4 -S315400224C000000000000000000000000000000000C4 -S315400224D000000000000000000000000000000000B4 -S315400224E000000000000000000000000000000000A4 -S315400224F00000000000000000000000000000000094 -S315400225000000000000000000000000000000000083 -S315400225100000000000000000000000000000000073 -S315400225200000000000000000000000000000000063 -S315400225300000000000000000000000000000000053 -S315400225400000000000000000000000000000000043 -S315400225500000000000000000000000000000000033 -S315400225600000000000000000000000000000000023 -S315400225700000000000000000000000000000000013 -S315400225800000000000000000000000000000000003 -S3154002259000000000000000000000000000000000F3 -S315400225A000000000000000000000000000000000E3 -S315400225B000000000000000000000000000000000D3 -S315400225C000000000000000000000000000000000C3 -S315400225D000000000000000000000000000000000B3 -S315400225E000000000000000000000000000000000A3 -S315400225F00000000000000000000000000000000093 -S315400226000000000000000000000000000000000082 -S315400226100000000000000000000000000000000072 -S315400226200000000000000000000000000000000062 -S315400226300000000000000000000000000000000052 -S315400226400000000000000000000000000000000042 -S315400226500000000000000000000000000000000032 -S315400226600000000000000000000000000000000022 -S315400226700000000000000000000000000000000012 -S315400226800000000000000000000000000000000002 -S3154002269000000000000000000000000000000000F2 -S315400226A000000000000000000000000000000000E2 -S315400226B000000000000000000000000000000000D2 -S315400226C000000000000000000000000000000000C2 -S315400226D000000000000000000000000000000000B2 -S315400226E000000000000000000000000000000000A2 -S315400226F00000000000000000000000000000000092 -S315400227000000000000000000000000000000000081 -S315400227100000000000000000000000000000000071 -S315400227200000000000000000000000000000000061 -S315400227300000000000000000000000000000000051 -S315400227400000000000000000000000000000000041 -S315400227500000000000000000000000000000000031 -S315400227600000000000000000000000000000000021 -S315400227700000000000000000000000000000000011 -S315400227800000000000000000000000000000000001 -S3154002279000000000000000000000000000000000F1 -S315400227A000000000000000000000000000000000E1 -S315400227B000000000000000000000000000000000D1 -S315400227C000000000000000000000000000000000C1 -S315400227D000000000000000000000000000000000B1 -S315400227E000000000000000000000000000000000A1 -S315400227F00000000000000000000000000000000091 -S315400228000000000000000000000000000000000080 -S315400228100000000000000000000000000000000070 -S315400228200000000000000000000000000000000060 -S315400228300000000000000000000000000000000050 -S315400228400000000000000000000000000000000040 -S315400228500000000000000000000000000000000030 -S315400228600000000000000000000000000000000020 -S315400228700000000000000000000000000000000010 -S315400228800000000000000000000000000000000000 -S3154002289000000000000000000000000000000000F0 -S315400228A000000000000000000000000000000000E0 -S315400228B000000000000000000000000000000000D0 -S315400228C000000000000000000000000000000000C0 -S315400228D000000000000000000000000000000000B0 -S315400228E000000000000000000000000000000000A0 -S315400228F00000000000000000000000000000000090 -S31540022900000000000000000000000000000000007F -S31540022910000000000000000000000000000000006F -S31540022920000000000000000000000000000000005F -S31540022930000000000000000000000000000000004F -S31540022940000000000000000000000000000000003F -S31540022950000000000000000000000000000000002F -S31540022960000000000000000000000000000000001F -S31540022970000000000000000000000000000000000F -S3154002298000000000000000000000000000000000FF -S3154002299000000000000000000000000000000000EF -S315400229A000000000000000000000000000000000DF -S315400229B000000000000000000000000000000000CF -S315400229C000000000000000000000000000000000BF -S315400229D000000000000000000000000000000000AF -S315400229E0000000000000000000000000000000009F -S315400229F0000000000000000000000000000000008F -S31540022A00000000000000000000000000000000007E -S31540022A10000000000000000000000000000000006E -S31540022A20000000000000000000000000000000005E -S31540022A30000000000000000000000000000000004E -S31540022A40000000000000000000000000000000003E -S31540022A50000000000000000000000000000000002E -S31540022A60000000000000000000000000000000001E -S31540022A70000000000000000000000000000000000E -S31540022A8000000000000000000000000000000000FE -S31540022A9000000000000000000000000000000000EE -S31540022AA000000000000000000000000000000000DE -S31540022AB000000000000000000000000000000000CE -S31540022AC000000000000000000000000000000000BE -S31540022AD000000000000000000000000000000000AE -S31540022AE0000000000000000000000000000000009E -S31540022AF0000000000000000000000000000000008E -S31540022B00000000000000000000000000000000007D -S31540022B10000000000000000000000000000000006D -S31540022B20000000000000000000000000000000005D -S31540022B30000000000000000000000000000000004D -S31540022B40000000000000000000000000000000003D -S31540022B50000000000000000000000000000000002D -S31540022B60000000000000000000000000000000001D -S31540022B70000000000000000000000000000000000D -S31540022B8000000000000000000000000000000000FD -S31540022B9000000000000000000000000000000000ED -S31540022BA000000000000000000000000000000000DD -S31540022BB000000000000000000000000000000000CD -S31540022BC000000000000000000000000000000000BD -S31540022BD000000000000000000000000000000000AD -S31540022BE0000000000000000000000000000000009D -S31540022BF0000000000000000000000000000000008D -S31540022C00000000000000000000000000000000007C -S31540022C10000000000000000000000000000000006C -S31540022C20000000000000000000000000000000005C -S31540022C30000000000000000000000000000000004C -S31540022C40000000000000000000000000000000003C -S31540022C50000000000000000000000000000000002C -S31540022C60000000000000000000000000000000001C -S31540022C70000000000000000000000000000000000C -S31540022C8000000000000000000000000000000000FC -S31540022C9000000000000000000000000000000000EC -S31540022CA000000000000000000000000000000000DC -S31540022CB000000000000000000000000000000000CC -S31540022CC000000000000000000000000000000000BC -S31540022CD000000000000000000000000000000000AC -S31540022CE0000000000000000000000000000000009C -S31540022CF0000000000000000000000000000000008C -S31540022D00000000000000000000000000000000007B -S31540022D10000000000000000000000000000000006B -S31540022D20000000000000000000000000000000005B -S31540022D30000000000000000000000000000000004B -S31540022D40000000000000000000000000000000003B -S31540022D50000000000000000000000000000000002B -S31540022D60000000000000000000000000000000001B -S31540022D70000000000000000000000000000000000B -S31540022D8000000000000000000000000000000000FB -S31540022D9000000000000000000000000000000000EB -S31540022DA000000000000000000000000000000000DB -S31540022DB000000000000000000000000000000000CB -S31540022DC000000000000000000000000000000000BB -S31540022DD000000000000000000000000000000000AB -S31540022DE0000000000000000000000000000000009B -S31540022DF0000000000000000000000000000000008B -S31540022E00000000000000000000000000000000007A -S31540022E10000000000000000000000000000000006A -S31540022E20000000000000000000000000000000005A -S31540022E30000000000000000000000000000000004A -S31540022E40000000000000000000000000000000003A -S31540022E50000000000000000000000000000000002A -S31540022E60000000000000000000000000000000001A -S31540022E70000000000000000000000000000000000A -S31540022E8000000000000000000000000000000000FA -S31540022E9000000000000000000000000000000000EA -S31540022EA000000000000000000000000000000000DA -S31540022EB000000000000000000000000000000000CA -S31540022EC000000000000000000000000000000000BA -S31540022ED000000000000000000000000000000000AA -S31540022EE0000000000000000000000000000000009A -S31540022EF0000000000000000000000000000000008A -S31540022F000000000000000000000000000000000079 -S31540022F100000000000000000000000000000000069 -S31540022F200000000000000000000000000000000059 -S31540022F300000000000000000000000000000000049 -S31540022F400000000000000000000000000000000039 -S31540022F500000000000000000000000000000000029 -S31540022F600000000000000000000000000000000019 -S31540022F700000000000000000000000000000000009 -S31540022F8000000000000000000000000000000000F9 -S31540022F9000000000000000000000000000000000E9 -S31540022FA000000000000000000000000000000000D9 -S31540022FB000000000000000000000000000000000C9 -S31540022FC000000000000000000000000000000000B9 -S31540022FD000000000000000000000000000000000A9 -S31540022FE00000000000000000000000000000000099 -S31540022FF00000000000000000000000000000000089 -S315400230000000000000000000000000000000000078 -S315400230100000000000000000000000000000000068 -S315400230200000000000000000000000000000000058 -S315400230300000000000000000000000000000000048 -S315400230400000000000000000000000000000000038 -S315400230500000000000000000000000000000000028 -S315400230600000000000000000000000000000000018 -S315400230700000000000000000000000000000000008 -S3154002308000000000000000000000000000000000F8 -S3154002309000000000000000000000000000000000E8 -S315400230A000000000000000000000000000000000D8 -S315400230B000000000000000000000000000000000C8 -S315400230C000000000000000000000000000000000B8 -S315400230D000000000000000000000000000000000A8 -S315400230E00000000000000000000000000000000098 -S315400230F00000000000000000000000000000000088 -S315400231000000000000000000000000000000000077 -S315400231100000000000000000000000000000000067 -S315400231200000000000000000000000000000000057 -S315400231300000000000000000000000000000000047 -S315400231400000000000000000000000000000000037 -S315400231500000000000000000000000000000000027 -S315400231600000000000000000000000000000000017 -S315400231700000000000000000000000000000000007 -S3154002318000000000000000000000000000000000F7 -S3154002319000000000000000000000000000000000E7 -S315400231A000000000000000000000000000000000D7 -S315400231B000000000000000000000000000000000C7 -S315400231C000000000000000000000000000000000B7 -S315400231D000000000000000000000000000000000A7 -S315400231E00000000000000000000000000000000097 -S315400231F00000000000000000000000000000000087 -S315400232000000000000000000000000000000000076 -S315400232100000000000000000000000000000000066 -S315400232200000000000000000000000000000000056 -S315400232300000000000000000000000000000000046 -S315400232400000000000000000000000000000000036 -S315400232500000000000000000000000000000000026 -S315400232600000000000000000000000000000000016 -S315400232700000000000000000000000000000000006 -S3154002328000000000000000000000000000000000F6 -S3154002329000000000000000000000000000000000E6 -S315400232A000000000000000000000000000000000D6 -S315400232B000000000000000000000000000000000C6 -S315400232C000000000000000000000000000000000B6 -S315400232D000000000000000000000000000000000A6 -S315400232E00000000000000000000000000000000096 -S315400232F00000000000000000000000000000000086 -S315400233000000000000000000000000000000000075 -S315400233100000000000000000000000000000000065 -S315400233200000000000000000000000000000000055 -S315400233300000000000000000000000000000000045 -S315400233400000000000000000000000000000000035 -S315400233500000000000000000000000000000000025 -S315400233600000000000000000000000000000000015 -S315400233700000000000000000000000000000000005 -S3154002338000000000000000000000000000000000F5 -S3154002339000000000000000000000000000000000E5 -S315400233A000000000000000000000000000000000D5 -S315400233B000000000000000000000000000000000C5 -S315400233C000000000000000000000000000000000B5 -S315400233D000000000000000000000000000000000A5 -S315400233E00000000000000000000000000000000095 -S315400233F00000000000000000000000000000000085 -S315400234000000000000000000000000000000000074 -S315400234100000000000000000000000000000000064 -S315400234200000000000000000000000000000000054 -S315400234300000000000000000000000000000000044 -S315400234400000000000000000000000000000000034 -S315400234500000000000000000000000000000000024 -S315400234600000000000000000000000000000000014 -S315400234700000000000000000000000000000000004 -S3154002348000000000000000000000000000000000F4 -S3154002349000000000000000000000000000000000E4 -S315400234A000000000000000000000000000000000D4 -S315400234B000000000000000000000000000000000C4 -S315400234C000000000000000000000000000000000B4 -S315400234D000000000000000000000000000000000A4 -S315400234E00000000000000000000000000000000094 -S315400234F00000000000000000000000000000000084 -S315400235000000000000000000000000000000000073 -S315400235100000000000000000000000000000000063 -S315400235200000000000000000000000000000000053 -S315400235300000000000000000000000000000000043 -S315400235400000000000000000000000000000000033 -S315400235500000000000000000000000000000000023 -S315400235600000000000000000000000000000000013 -S315400235700000000000000000000000000000000003 -S3154002358000000000000000000000000000000000F3 -S3154002359000000000000000000000000000000000E3 -S315400235A000000000000000000000000000000000D3 -S315400235B000000000000000000000000000000000C3 -S315400235C000000000000000000000000000000000B3 -S315400235D000000000000000000000000000000000A3 -S315400235E00000000000000000000000000000000093 -S315400235F00000000000000000000000000000000083 -S315400236000000000000000000000000000000000072 -S315400236100000000000000000000000000000000062 -S315400236200000000000000000000000000000000052 -S315400236300000000000000000000000000000000042 -S315400236400000000000000000000000000000000032 -S315400236500000000000000000000000000000000022 -S315400236600000000000000000000000000000000012 -S315400236700000000000000000000000000000000002 -S3154002368000000000000000000000000000000000F2 -S3154002369000000000000000000000000000000000E2 -S315400236A000000000000000000000000000000000D2 -S315400236B000000000000000000000000000000000C2 -S315400236C000000000000000000000000000000000B2 -S315400236D000000000000000000000000000000000A2 -S315400236E00000000000000000000000000000000092 -S315400236F00000000000000000000000000000000082 -S315400237000000000000000000000000000000000071 -S315400237100000000000000000000000000000000061 -S315400237200000000000000000000000000000000051 -S315400237300000000000000000000000000000000041 -S315400237400000000000000000000000000000000031 -S315400237500000000000000000000000000000000021 -S315400237600000000000000000000000000000000011 -S315400237700000000000000000000000000000000001 -S3154002378000000000000000000000000000000000F1 -S3154002379000000000000000000000000000000000E1 -S315400237A000000000000000000000000000000000D1 -S315400237B000000000000000000000000000000000C1 -S315400237C000000000000000000000000000000000B1 -S315400237D000000000000000000000000000000000A1 -S315400237E00000000000000000000000000000000091 -S315400237F00000000000000000000000000000000081 -S315400238000000000000000000000000000000000070 -S315400238100000000000000000000000000000000060 -S315400238200000000000000000000000000000000050 -S315400238300000000000000000000000000000000040 -S315400238400000000000000000000000000000000030 -S315400238500000000000000000000000000000000020 -S315400238600000000000000000000000000000000010 -S315400238700000000000000000000000000000000000 -S3154002388000000000000000000000000000000000F0 -S3154002389000000000000000000000000000000000E0 -S315400238A000000000000000000000000000000000D0 -S315400238B000000000000000000000000000000000C0 -S315400238C000000000000000000000000000000000B0 -S315400238D000000000000000000000000000000000A0 -S315400238E00000000000000000000000000000000090 -S315400238F00000000000000000000000000000000080 -S31540023900000000000000000000000000000000006F -S31540023910000000000000000000000000000000005F -S31540023920000000000000000000000000000000004F -S31540023930000000000000000000000000000000003F -S31540023940000000000000000000000000000000002F -S31540023950000000000000000000000000000000001F -S31540023960000000000000000000000000000000000F -S3154002397000000000000000000000000000000000FF -S3154002398000000000000000000000000000000000EF -S3154002399000000000000000000000000000000000DF -S315400239A000000000000000000000000000000000CF -S315400239B000000000000000000000000000000000BF -S315400239C000000000000000000000000000000000AF -S315400239D0000000000000000000000000000000009F -S315400239E0000000000000000000000000000000008F -S315400239F0000000000000000000000000000000007F -S31540023A00000000000000000000000000000000006E -S31540023A10000000000000000000000000000000005E -S31540023A20000000000000000000000000000000004E -S31540023A30000000000000000000000000000000003E -S31540023A40000000000000000000000000000000002E -S31540023A50000000000000000000000000000000001E -S31540023A60000000000000000000000000000000000E -S31540023A7000000000000000000000000000000000FE -S31540023A8000000000000000000000000000000000EE -S31540023A9000000000000000000000000000000000DE -S31540023AA000000000000000000000000000000000CE -S31540023AB000000000000000000000000000000000BE -S31540023AC000000000000000000000000000000000AE -S31540023AD0000000000000000000000000000000009E -S31540023AE0000000000000000000000000000000008E -S31540023AF0000000000000000000000000000000007E -S31540023B00000000000000000000000000000000006D -S31540023B10000000000000000000000000000000005D -S31540023B20000000000000000000000000000000004D -S31540023B30000000000000000000000000000000003D -S31540023B40000000000000000000000000000000002D -S31540023B50000000000000000000000000000000001D -S31540023B60000000000000000000000000000000000D -S31540023B7000000000000000000000000000000000FD -S31540023B8000000000000000000000000000000000ED -S31540023B9000000000000000000000000000000000DD -S31540023BA000000000000000000000000000000000CD -S31540023BB000000000000000000000000000000000BD -S31540023BC000000000000000000000000000000000AD -S31540023BD0000000000000000000000000000000009D -S31540023BE0000000000000000000000000000000008D -S31540023BF0000000000000000000000000000000007D -S31540023C00000000000000000000000000000000006C -S31540023C10000000000000000000000000000000005C -S31540023C20000000000000000000000000000000004C -S31540023C30000000000000000000000000000000003C -S31540023C40000000000000000000000000000000002C -S31540023C50000000000000000000000000000000001C -S31540023C60000000000000000000000000000000000C -S31540023C7000000000000000000000000000000000FC -S31540023C8000000000000000000000000000000000EC -S31540023C9000000000000000000000000000000000DC -S31540023CA000000000000000000000000000000000CC -S31540023CB000000000000000000000000000000000BC -S31540023CC000000000000000000000000000000000AC -S31540023CD0000000000000000000000000000000009C -S31540023CE0000000000000000000000000000000008C -S31540023CF0000000000000000000000000000000007C -S31540023D00000000000000000000000000000000006B -S31540023D10000000000000000000000000000000005B -S31540023D20000000000000000000000000000000004B -S31540023D30000000000000000000000000000000003B -S31540023D40000000000000000000000000000000002B -S31540023D50000000000000000000000000000000001B -S31540023D60000000000000000000000000000000000B -S31540023D7000000000000000000000000000000000FB -S31540023D8000000000000000000000000000000000EB -S31540023D9000000000000000000000000000000000DB -S31540023DA000000000000000000000000000000000CB -S31540023DB000000000000000000000000000000000BB -S31540023DC000000000000000000000000000000000AB -S31540023DD0000000000000000000000000000000009B -S31540023DE0000000000000000000000000000000008B -S31540023DF0000000000000000000000000000000007B -S31540023E00000000000000000000000000000000006A -S31540023E10000000000000000000000000000000005A -S31540023E20000000000000000000000000000000004A -S31540023E30000000000000000000000000000000003A -S31540023E40000000000000000000000000000000002A -S31540023E50000000000000000000000000000000001A -S31540023E60000000000000000000000000000000000A -S31540023E7000000000000000000000000000000000FA -S31540023E8000000000000000000000000000000000EA -S31540023E9000000000000000000000000000000000DA -S31540023EA000000000000000000000000000000000CA -S31540023EB000000000000000000000000000000000BA -S31540023EC000000000000000000000000000000000AA -S31540023ED0000000000000000000000000000000009A -S31540023EE0000000000000000000000000000000008A -S31540023EF0000000000000000000000000000000007A -S31540023F000000000000000000000000000000000069 -S31540023F100000000000000000000000000000000059 -S31540023F200000000000000000000000000000000049 -S31540023F300000000000000000000000000000000039 -S31540023F400000000000000000000000000000000029 -S31540023F500000000000000000000000000000000019 -S31540023F600000000000000000000000000000000009 -S31540023F7000000000000000000000000000000000F9 -S31540023F8000000000000000000000000000000000E9 -S31540023F9000000000000000000000000000000000D9 -S31540023FA000000000000000000000000000000000C9 -S31540023FB000000000000000000000000000000000B9 -S31540023FC000000000000000000000000000000000A9 -S31540023FD00000000000000000000000000000000099 -S31540023FE00000000000000000000000000000000089 -S31540023FF00000000000000000000000000000000079 -S315400240000000000000000000000000000000000068 -S315400240100000000000000000000000000000000058 -S315400240200000000000000000000000000000000048 -S315400240300000000000000000000000000000000038 -S315400240400000000000000000000000000000000028 -S315400240500000000000000000000000000000000018 -S315400240600000000000000000000000000000000008 -S3154002407000000000000000000000000000000000F8 -S3154002408000000000000000000000000000000000E8 -S3154002409000000000000000000000000000000000D8 -S315400240A000000000000000000000000000000000C8 -S315400240B000000000000000000000000000000000B8 -S315400240C000000000000000000000000000000000A8 -S315400240D00000000000000000000000000000000098 -S315400240E00000000000000000000000000000000088 -S315400240F00000000000000000000000000000000078 -S315400241000000000000000000000000000000000067 -S315400241100000000000000000000000000000000057 -S315400241200000000000000000000000000000000047 -S315400241300000000000000000000000000000000037 -S315400241400000000000000000000000000000000027 -S315400241500000000000000000000000000000000017 -S315400241600000000000000000000000000000000007 -S3154002417000000000000000000000000000000000F7 -S3154002418000000000000000000000000000000000E7 -S3154002419000000000000000000000000000000000D7 -S315400241A000000000000000000000000000000000C7 -S315400241B000000000000000000000000000000000B7 -S315400241C000000000000000000000000000000000A7 -S315400241D00000000000000000000000000000000097 -S315400241E00000000000000000000000000000000087 -S315400241F00000000000000000000000000000000077 -S315400242000000000000000000000000000000000066 -S315400242100000000000000000000000000000000056 -S315400242200000000000000000000000000000000046 -S315400242300000000000000000000000000000000036 -S315400242400000000000000000000000000000000026 -S315400242500000000000000000000000000000000016 -S315400242600000000000000000000000000000000006 -S3154002427000000000000000000000000000000000F6 -S3154002428000000000000000000000000000000000E6 -S3154002429000000000000000000000000000000000D6 -S315400242A000000000000000000000000000000000C6 -S315400242B000000000000000000000000000000000B6 -S315400242C000000000000000000000000000000000A6 -S315400242D00000000000000000000000000000000096 -S315400242E00000000000000000000000000000000086 -S315400242F00000000000000000000000000000000076 -S315400243000000000000000000000000000000000065 -S315400243100000000000000000000000000000000055 -S315400243200000000000000000000000000000000045 -S315400243300000000000000000000000000000000035 -S315400243400000000000000000000000000000000025 -S315400243500000000000000000000000000000000015 -S315400243600000000000000000000000000000000005 -S3154002437000000000000000000000000000000000F5 -S3154002438000000000000000000000000000000000E5 -S3154002439000000000000000000000000000000000D5 -S315400243A000000000000000000000000000000000C5 -S315400243B000000000000000000000000000000000B5 -S315400243C000000000000000000000000000000000A5 -S315400243D00000000000000000000000000000000095 -S315400243E00000000000000000000000000000000085 -S315400243F00000000000000000000000000000000075 -S315400244000000000000000000000000000000000064 -S315400244100000000000000000000000000000000054 -S315400244200000000000000000000000000000000044 -S315400244300000000000000000000000000000000034 -S315400244400000000000000000000000000000000024 -S315400244500000000000000000000000000000000014 -S315400244600000000000000000000000000000000004 -S3154002447000000000000000000000000000000000F4 -S3154002448000000000000000000000000000000000E4 -S3154002449000000000000000000000000000000000D4 -S315400244A000000000000000000000000000000000C4 -S315400244B000000000000000000000000000000000B4 -S315400244C000000000000000000000000000000000A4 -S315400244D00000000000000000000000000000000094 -S315400244E00000000000000000000000000000000084 -S315400244F00000000000000000000000000000000074 -S315400245000000000000000000000000000000000063 -S315400245100000000000000000000000000000000053 -S315400245200000000000000000000000000000000043 -S315400245300000000000000000000000000000000033 -S315400245400000000000000000000000000000000023 -S315400245500000000000000000000000000000000013 -S315400245600000000000000000000000000000000003 -S3154002457000000000000000000000000000000000F3 -S3154002458000000000000000000000000000000000E3 -S3154002459000000000000000000000000000000000D3 -S315400245A000000000000000000000000000000000C3 -S315400245B000000000000000000000000000000000B3 -S315400245C000000000000000000000000000000000A3 -S315400245D00000000000000000000000000000000093 -S315400245E00000000000000000000000000000000083 -S315400245F00000000000000000000000000000000073 -S315400246000000000000000000000000000000000062 -S315400246100000000000000000000000000000000052 -S315400246200000000000000000000000000000000042 -S315400246300000000000000000000000000000000032 -S315400246400000000000000000000000000000000022 -S315400246500000000000000000000000000000000012 -S315400246600000000000000000000000000000000002 -S3154002467000000000000000000000000000000000F2 -S3154002468000000000000000000000000000000000E2 -S3154002469000000000000000000000000000000000D2 -S315400246A000000000000000000000000000000000C2 -S315400246B000000000000000000000000000000000B2 -S315400246C000000000000000000000000000000000A2 -S315400246D00000000000000000000000000000000092 -S315400246E00000000000000000000000000000000082 -S315400246F00000000000000000000000000000000072 -S315400247000000000000000000000000000000000061 -S315400247100000000000000000000000000000000051 -S315400247200000000000000000000000000000000041 -S315400247300000000000000000000000000000000031 -S315400247400000000000000000000000000000000021 -S315400247500000000000000000000000000000000011 -S315400247600000000000000000000000000000000001 -S3154002477000000000000000000000000000000000F1 -S3154002478000000000000000000000000000000000E1 -S3154002479000000000000000000000000000000000D1 -S315400247A000000000000000000000000000000000C1 -S315400247B000000000000000000000000000000000B1 -S315400247C000000000000000000000000000000000A1 -S315400247D00000000000000000000000000000000091 -S315400247E00000000000000000000000000000000081 -S315400247F00000000000000000000000000000000071 -S315400248000000000000000000000000000000000060 -S315400248100000000000000000000000000000000050 -S315400248200000000000000000000000000000000040 -S315400248300000000000000000000000000000000030 -S315400248400000000000000000000000000000000020 -S315400248500000000000000000000000000000000010 -S315400248600000000000000000000000000000000000 -S3154002487000000000000000000000000000000000F0 -S3154002488000000000000000000000000000000000E0 -S3154002489000000000000000000000000000000000D0 -S315400248A000000000000000000000000000000000C0 -S315400248B000000000000000000000000000000000B0 -S315400248C000000000000000000000000000000000A0 -S315400248D00000000000000000000000000000000090 -S315400248E00000000000000000000000000000000080 -S315400248F00000000000000000000000000000000070 -S31540024900000000000000000000000000000000005F -S31540024910000000000000000000000000000000004F -S31540024920000000000000000000000000000000003F -S31540024930000000000000000000000000000000002F -S31540024940000000000000000000000000000000001F -S31540024950000000000000000000000000000000000F -S3154002496000000000000000000000000000000000FF -S3154002497000000000000000000000000000000000EF -S3154002498000000000000000000000000000000000DF -S3154002499000000000000000000000000000000000CF -S315400249A000000000000000000000000000000000BF -S315400249B000000000000000000000000000000000AF -S315400249C0000000000000000000000000000000009F -S315400249D0000000000000000000000000000000008F -S315400249E0000000000000000000000000000000007F -S315400249F0000000000000000000000000000000006F -S31540024A00000000000000000000000000000000005E -S31540024A10000000000000000000000000000000004E -S31540024A20000000000000000000000000000000003E -S31540024A30000000000000000000000000000000002E -S31540024A40000000000000000000000000000000001E -S31540024A50000000000000000000000000000000000E -S31540024A6000000000000000000000000000000000FE -S31540024A7000000000000000000000000000000000EE -S31540024A8000000000000000000000000000000000DE -S31540024A9000000000000000000000000000000000CE -S31540024AA000000000000000000000000000000000BE -S31540024AB000000000000000000000000000000000AE -S31540024AC0000000000000000000000000000000009E -S31540024AD0000000000000000000000000000000008E -S31540024AE0000000000000000000000000000000007E -S31540024AF0000000000000000000000000000000006E -S31540024B00000000000000000000000000000000005D -S31540024B10000000000000000000000000000000004D -S31540024B20000000000000000000000000000000003D -S31540024B30000000000000000000000000000000002D -S31540024B40000000000000000000000000000000001D -S31540024B50000000000000000000000000000000000D -S31540024B6000000000000000000000000000000000FD -S31540024B7000000000000000000000000000000000ED -S31540024B8000000000000000000000000000000000DD -S31540024B9000000000000000000000000000000000CD -S31540024BA000000000000000000000000000000000BD -S31540024BB000000000000000000000000000000000AD -S31540024BC0000000000000000000000000000000009D -S31540024BD0000000000000000000000000000000008D -S31540024BE0000000000000000000000000000000007D -S31540024BF0000000000000000000000000000000006D -S31540024C00000000000000000000000000000000005C -S31540024C10000000000000000000000000000000004C -S31540024C20000000000000000000000000000000003C -S31540024C30000000000000000000000000000000002C -S31540024C40000000000000000000000000000000001C -S31540024C50000000000000000000000000000000000C -S31540024C6000000000000000000000000000000000FC -S31540024C7000000000000000000000000000000000EC -S31540024C8000000000000000000000000000000000DC -S31540024C9000000000000000000000000000000000CC -S31540024CA000000000000000000000000000000000BC -S31540024CB000000000000000000000000000000000AC -S31540024CC0000000000000000000000000000000009C -S31540024CD0000000000000000000000000000000008C -S31540024CE0000000000000000000000000000000007C -S31540024CF0000000000000000000000000000000006C -S31540024D00000000000000000000000000000000005B -S31540024D10000000000000000000000000000000004B -S31540024D20000000000000000000000000000000003B -S31540024D30000000000000000000000000000000002B -S31540024D40000000000000000000000000000000001B -S31540024D50000000000000000000000000000000000B -S31540024D6000000000000000000000000000000000FB -S31540024D7000000000000000000000000000000000EB -S31540024D8000000000000000000000000000000000DB -S31540024D9000000000000000000000000000000000CB -S31540024DA000000000000000000000000000000000BB -S31540024DB000000000000000000000000000000000AB -S31540024DC0000000000000000000000000000000009B -S31540024DD0000000000000000000000000000000008B -S31540024DE0000000000000000000000000000000007B -S31540024DF0000000000000000000000000000000006B -S31540024E00000000000000000000000000000000005A -S31540024E10000000000000000000000000000000004A -S31540024E20000000000000000000000000000000003A -S31540024E30000000000000000000000000000000002A -S31540024E40000000000000000000000000000000001A -S31540024E50000000000000000000000000000000000A -S31540024E6000000000000000000000000000000000FA -S31540024E7000000000000000000000000000000000EA -S31540024E8000000000000000000000000000000000DA -S31540024E9000000000000000000000000000000000CA -S31540024EA000000000000000000000000000000000BA -S31540024EB000000000000000000000000000000000AA -S31540024EC0000000000000000000000000000000009A -S31540024ED0000000000000000000000000000000008A -S31540024EE0000000000000000000000000000000007A -S31540024EF0000000000000000000000000000000006A -S31540024F000000000000000000000000000000000059 -S31540024F100000000000000000000000000000000049 -S31540024F200000000000000000000000000000000039 -S31540024F300000000000000000000000000000000029 -S31540024F400000000000000000000000000000000019 -S31540024F500000000000000000000000000000000009 -S31540024F6000000000000000000000000000000000F9 -S31540024F7000000000000000000000000000000000E9 -S31540024F8000000000000000000000000000000000D9 -S31540024F9000000000000000000000000000000000C9 -S31540024FA000000000000000000000000000000000B9 -S31540024FB000000000000000000000000000000000A9 -S31540024FC00000000000000000000000000000000099 -S31540024FD00000000000000000000000000000000089 -S31540024FE00000000000000000000000000000000079 -S31540024FF00000000000000000000000000000000069 -S315400250000000000000000000000000000000000058 -S315400250100000000000000000000000000000000048 -S315400250200000000000000000000000000000000038 -S315400250300000000000000000000000000000000028 -S315400250400000000000000000000000000000000018 -S315400250500000000000000000000000000000000008 -S3154002506000000000000000000000000000000000F8 -S3154002507000000000000000000000000000000000E8 -S3154002508000000000000000000000000000000000D8 -S3154002509000000000000000000000000000000000C8 -S315400250A000000000000000000000000000000000B8 -S315400250B000000000000000000000000000000000A8 -S315400250C00000000000000000000000000000000098 -S315400250D00000000000000000000000000000000088 -S315400250E00000000000000000000000000000000078 -S315400250F00000000000000000000000000000000068 -S315400251000000000000000000000000000000000057 -S315400251100000000000000000000000000000000047 -S315400251200000000000000000000000000000000037 -S315400251300000000000000000000000000000000027 -S315400251400000000000000000000000000000000017 -S315400251500000000000000000000000000000000007 -S3154002516000000000000000000000000000000000F7 -S3154002517000000000000000000000000000000000E7 -S3154002518000000000000000000000000000000000D7 -S3154002519000000000000000000000000000000000C7 -S315400251A000000000000000000000000000000000B7 -S315400251B000000000000000000000000000000000A7 -S315400251C00000000000000000000000000000000097 -S315400251D00000000000000000000000000000000087 -S315400251E00000000000000000000000000000000077 -S315400251F00000000000000000000000000000000067 -S315400252000000000000000000000000000000000056 -S315400252100000000000000000000000000000000046 -S315400252200000000000000000000000000000000036 -S315400252300000000000000000000000000000000026 -S315400252400000000000000000000000000000000016 -S315400252500000000000000000000000000000000006 -S3154002526000000000000000000000000000000000F6 -S3154002527000000000000000000000000000000000E6 -S3154002528000000000000000000000000000000000D6 -S3154002529000000000000000000000000000000000C6 -S315400252A000000000000000000000000000000000B6 -S315400252B000000000000000000000000000000000A6 -S315400252C00000000000000000000000000000000096 -S315400252D00000000000000000000000000000000086 -S315400252E00000000000000000000000000000000076 -S315400252F00000000000000000000000000000000066 -S315400253000000000000000000000000000000000055 -S315400253100000000000000000000000000000000045 -S315400253200000000000000000000000000000000035 -S315400253300000000000000000000000000000000025 -S315400253400000000000000000000000000000000015 -S315400253500000000000000000000000000000000005 -S3154002536000000000000000000000000000000000F5 -S3154002537000000000000000000000000000000000E5 -S3154002538000000000000000000000000000000000D5 -S3154002539000000000000000000000000000000000C5 -S315400253A000000000000000000000000000000000B5 -S315400253B000000000000000000000000000000000A5 -S315400253C00000000000000000000000000000000095 -S315400253D00000000000000000000000000000000085 -S315400253E00000000000000000000000000000000075 -S315400253F00000000000000000000000000000000065 -S315400254000000000000000000000000000000000054 -S315400254100000000000000000000000000000000044 -S315400254200000000000000000000000000000000034 -S315400254300000000000000000000000000000000024 -S315400254400000000000000000000000000000000014 -S315400254500000000000000000000000000000000004 -S3154002546000000000000000000000000000000000F4 -S3154002547000000000000000000000000000000000E4 -S3154002548000000000000000000000000000000000D4 -S3154002549000000000000000000000000000000000C4 -S315400254A000000000000000000000000000000000B4 -S315400254B000000000000000000000000000000000A4 -S315400254C00000000000000000000000000000000094 -S315400254D00000000000000000000000000000000084 -S315400254E00000000000000000000000000000000074 -S315400254F00000000000000000000000000000000064 -S315400255000000000000000000000000000000000053 -S315400255100000000000000000000000000000000043 -S315400255200000000000000000000000000000000033 -S315400255300000000000000000000000000000000023 -S315400255400000000000000000000000000000000013 -S315400255500000000000000000000000000000000003 -S3154002556000000000000000000000000000000000F3 -S3154002557000000000000000000000000000000000E3 -S3154002558000000000000000000000000000000000D3 -S3154002559000000000000000000000000000000000C3 -S315400255A000000000000000000000000000000000B3 -S315400255B000000000000000000000000000000000A3 -S315400255C00000000000000000000000000000000093 -S315400255D00000000000000000000000000000000083 -S315400255E00000000000000000000000000000000073 -S315400255F00000000000000000000000000000000063 -S315400256000000000000000000000000000000000052 -S315400256100000000000000000000000000000000042 -S315400256200000000000000000000000000000000032 -S315400256300000000000000000000000000000000022 -S315400256400000000000000000000000000000000012 -S315400256500000000000000000000000000000000002 -S3154002566000000000000000000000000000000000F2 -S3154002567000000000000000000000000000000000E2 -S3154002568000000000000000000000000000000000D2 -S3154002569000000000000000000000000000000000C2 -S315400256A000000000000000000000000000000000B2 -S315400256B000000000000000000000000000000000A2 -S315400256C00000000000000000000000000000000092 -S315400256D00000000000000000000000000000000082 -S315400256E00000000000000000000000000000000072 -S315400256F00000000000000000000000000000000062 -S315400257000000000000000000000000000000000051 -S315400257100000000000000000000000000000000041 -S315400257200000000000000000000000000000000031 -S315400257300000000000000000000000000000000021 -S315400257400000000000000000000000000000000011 -S315400257500000000000000000000000000000000001 -S3154002576000000000000000000000000000000000F1 -S3154002577000000000000000000000000000000000E1 -S3154002578000000000000000000000000000000000D1 -S3154002579000000000000000000000000000000000C1 -S315400257A000000000000000000000000000000000B1 -S315400257B000000000000000000000000000000000A1 -S315400257C00000000000000000000000000000000091 -S315400257D00000000000000000000000000000000081 -S315400257E00000000000000000000000000000000071 -S315400257F00000000000000000000000000000000061 -S315400258000000000000000000000000000000000050 -S315400258100000000000000000000000000000000040 -S315400258200000000000000000000000000000000030 -S315400258300000000000000000000000000000000020 -S315400258400000000000000000000000000000000010 -S315400258500000000000000000000000000000000000 -S3154002586000000000000000000000000000000000F0 -S3154002587000000000000000000000000000000000E0 -S3154002588000000000000000000000000000000000D0 -S3154002589000000000000000000000000000000000C0 -S315400258A000000000000000000000000000000000B0 -S315400258B000000000000000000000000000000000A0 -S315400258C00000000000000000000000000000000090 -S315400258D00000000000000000000000000000000080 -S315400258E00000000000000000000000000000000070 -S315400258F00000000000000000000000000000000060 -S31540025900000000000000000000000000000000004F -S31540025910000000000000000000000000000000003F -S31540025920000000000000000000000000000000002F -S31540025930000000000000000000000000000000001F -S31540025940000000000000000000000000000000000F -S3154002595000000000000000000000000000000000FF -S3154002596000000000000000000000000000000000EF -S3154002597000000000000000000000000000000000DF -S3154002598000000000000000000000000000000000CF -S3154002599000000000000000000000000000000000BF -S315400259A000000000000000000000000000000000AF -S315400259B0000000000000000000000000000000009F -S315400259C0000000000000000000000000000000008F -S315400259D0000000000000000000000000000000007F -S315400259E0000000000000000000000000000000006F -S315400259F0000000000000000000000000000000005F -S31540025A00000000000000000000000000000000004E -S31540025A10000000000000000000000000000000003E -S31540025A20000000000000000000000000000000002E -S31540025A30000000000000000000000000000000001E -S31540025A40000000000000000000000000000000000E -S31540025A5000000000000000000000000000000000FE -S31540025A6000000000000000000000000000000000EE -S31540025A7000000000000000000000000000000000DE -S31540025A8000000000000000000000000000000000CE -S31540025A9000000000000000000000000000000000BE -S31540025AA000000000000000000000000000000000AE -S31540025AB0000000000000000000000000000000009E -S31540025AC0000000000000000000000000000000008E -S31540025AD0000000000000000000000000000000007E -S31540025AE0000000000000000000000000000000006E -S31540025AF0000000000000000000000000000000005E -S31540025B00000000000000000000000000000000004D -S31540025B10000000000000000000000000000000003D -S31540025B20000000000000000000000000000000002D -S31540025B30000000000000000000000000000000001D -S31540025B40000000000000000000000000000000000D -S31540025B5000000000000000000000000000000000FD -S31540025B6000000000000000000000000000000000ED -S31540025B7000000000000000000000000000000000DD -S31540025B8000000000000000000000000000000000CD -S31540025B9000000000000000000000000000000000BD -S31540025BA000000000000000000000000000000000AD -S31540025BB0000000000000000000000000000000009D -S31540025BC0000000000000000000000000000000008D -S31540025BD0000000000000000000000000000000007D -S31540025BE0000000000000000000000000000000006D -S31540025BF0000000000000000000000000000000005D -S31540025C00000000000000000000000000000000004C -S31540025C10000000000000000000000000000000003C -S31540025C20000000000000000000000000000000002C -S31540025C30000000000000000000000000000000001C -S31540025C40000000000000000000000000000000000C -S31540025C5000000000000000000000000000000000FC -S31540025C6000000000000000000000000000000000EC -S31540025C7000000000000000000000000000000000DC -S31540025C8000000000000000000000000000000000CC -S31540025C9000000000000000000000000000000000BC -S31540025CA000000000000000000000000000000000AC -S31540025CB0000000000000000000000000000000009C -S31540025CC0000000000000000000000000000000008C -S31540025CD0000000000000000000000000000000007C -S31540025CE0000000000000000000000000000000006C -S31540025CF0000000000000000000000000000000005C -S31540025D00000000000000000000000000000000004B -S31540025D10000000000000000000000000000000003B -S31540025D20000000000000000000000000000000002B -S31540025D30000000000000000000000000000000001B -S31540025D40000000000000000000000000000000000B -S31540025D5000000000000000000000000000000000FB -S31540025D6000000000000000000000000000000000EB -S31540025D7000000000000000000000000000000000DB -S31540025D8000000000000000000000000000000000CB -S31540025D9000000000000000000000000000000000BB -S31540025DA000000000000000000000000000000000AB -S31540025DB0000000000000000000000000000000009B -S31540025DC0000000000000000000000000000000008B -S31540025DD0000000000000000000000000000000007B -S31540025DE0000000000000000000000000000000006B -S31540025DF0000000000000000000000000000000005B -S31540025E00000000000000000000000000000000004A -S31540025E10000000000000000000000000000000003A -S31540025E20000000000000000000000000000000002A -S31540025E30000000000000000000000000000000001A -S31540025E40000000000000000000000000000000000A -S31540025E5000000000000000000000000000000000FA -S31540025E6000000000000000000000000000000000EA -S31540025E7000000000000000000000000000000000DA -S31540025E8000000000000000000000000000000000CA -S31540025E9000000000000000000000000000000000BA -S31540025EA000000000000000000000000000000000AA -S31540025EB0000000000000000000000000000000009A -S31540025EC0000000000000000000000000000000008A -S31540025ED0000000000000000000000000000000007A -S31540025EE0000000000000000000000000000000006A -S31540025EF0000000000000000000000000000000005A -S31540025F000000000000000000000000000000000049 -S31540025F100000000000000000000000000000000039 -S31540025F200000000000000000000000000000000029 -S31540025F300000000000000000000000000000000019 -S31540025F400000000000000000000000000000000009 -S31540025F5000000000000000000000000000000000F9 -S31540025F6000000000000000000000000000000000E9 -S31540025F7000000000000000000000000000000000D9 -S31540025F8000000000000000000000000000000000C9 -S31540025F9000000000000000000000000000000000B9 -S31540025FA000000000000000000000000000000000A9 -S31540025FB00000000000000000000000000000000099 -S31540025FC00000000000000000000000000000000089 -S31540025FD00000000000000000000000000000000079 -S31540025FE00000000000000000000000000000000069 -S31540025FF00000000000000000000000000000000059 -S315400260000000000000000000000000000000000048 -S315400260100000000000000000000000000000000038 -S315400260200000000000000000000000000000000028 -S315400260300000000000000000000000000000000018 -S315400260400000000000000000000000000000000008 -S3154002605000000000000000000000000000000000F8 -S3154002606000000000000000000000000000000000E8 -S3154002607000000000000000000000000000000000D8 -S3154002608000000000000000000000000000000000C8 -S3154002609000000000000000000000000000000000B8 -S315400260A000000000000000000000000000000000A8 -S315400260B00000000000000000000000000000000098 -S315400260C00000000000000000000000000000000088 -S315400260D00000000000000000000000000000000078 -S315400260E00000000000000000000000000000000068 -S315400260F00000000000000000000000000000000058 -S315400261000000000000000000000000000000000047 -S315400261100000000000000000000000000000000037 -S315400261200000000000000000000000000000000027 -S315400261300000000000000000000000000000000017 -S315400261400000000000000000000000000000000007 -S3154002615000000000000000000000000000000000F7 -S3154002616000000000000000000000000000000000E7 -S3154002617000000000000000000000000000000000D7 -S3154002618000000000000000000000000000000000C7 -S3154002619000000000000000000000000000000000B7 -S315400261A000000000000000000000000000000000A7 -S315400261B00000000000000000000000000000000097 -S315400261C00000000000000000000000000000000087 -S315400261D00000000000000000000000000000000077 -S315400261E00000000000000000000000000000000067 -S315400261F00000000000000000000000000000000057 -S315400262000000000000000000000000000000000046 -S315400262100000000000000000000000000000000036 -S315400262200000000000000000000000000000000026 -S315400262300000000000000000000000000000000016 -S315400262400000000000000000000000000000000006 -S3154002625000000000000000000000000000000000F6 -S3154002626000000000000000000000000000000000E6 -S3154002627000000000000000000000000000000000D6 -S3154002628000000000000000000000000000000000C6 -S3154002629000000000000000000000000000000000B6 -S315400262A000000000000000000000000000000000A6 -S315400262B00000000000000000000000000000000096 -S315400262C00000000000000000000000000000000086 -S315400262D00000000000000000000000000000000076 -S315400262E00000000000000000000000000000000066 -S315400262F00000000000000000000000000000000056 -S315400263000000000000000000000000000000000045 -S315400263100000000000000000000000000000000035 -S315400263200000000000000000000000000000000025 -S315400263300000000000000000000000000000000015 -S315400263400000000000000000000000000000000005 -S3154002635000000000000000000000000000000000F5 -S3154002636000000000000000000000000000000000E5 -S3154002637000000000000000000000000000000000D5 -S3154002638000000000000000000000000000000000C5 -S3154002639000000000000000000000000000000000B5 -S315400263A000000000000000000000000000000000A5 -S315400263B00000000000000000000000000000000095 -S315400263C00000000000000000000000000000000085 -S315400263D00000000000000000000000000000000075 -S315400263E00000000000000000000000000000000065 -S315400263F00000000000000000000000000000000055 -S315400264000000000000000000000000000000000044 -S315400264100000000000000000000000000000000034 -S315400264200000000000000000000000000000000024 -S315400264300000000000000000000000000000000014 -S315400264400000000000000000000000000000000004 -S3154002645000000000000000000000000000000000F4 -S3154002646000000000000000000000000000000000E4 -S3154002647000000000000000000000000000000000D4 -S3154002648000000000000000000000000000000000C4 -S3154002649000000000000000000000000000000000B4 -S315400264A000000000000000000000000000000000A4 -S315400264B00000000000000000000000000000000094 -S315400264C00000000000000000000000000000000084 -S315400264D00000000000000000000000000000000074 -S315400264E00000000000000000000000000000000064 -S315400264F00000000000000000000000000000000054 -S315400265000000000000000000000000000000000043 -S315400265100000000000000000000000000000000033 -S315400265200000000000000000000000000000000023 -S315400265300000000000000000000000000000000013 -S315400265400000000000000000000000000000000003 -S3154002655000000000000000000000000000000000F3 -S3154002656000000000000000000000000000000000E3 -S3154002657000000000000000000000000000000000D3 -S3154002658000000000000000000000000000000000C3 -S3154002659000000000000000000000000000000000B3 -S315400265A000000000000000000000000000000000A3 -S315400265B00000000000000000000000000000000093 -S315400265C00000000000000000000000000000000083 -S315400265D00000000000000000000000000000000073 -S315400265E00000000000000000000000000000000063 -S315400265F00000000000000000000000000000000053 -S315400266000000000000000000000000000000000042 -S315400266100000000000000000000000000000000032 -S315400266200000000000000000000000000000000022 -S315400266300000000000000000000000000000000012 -S315400266400000000000000000000000000000000002 -S3154002665000000000000000000000000000000000F2 -S3154002666000000000000000000000000000000000E2 -S3154002667000000000000000000000000000000000D2 -S3154002668000000000000000000000000000000000C2 -S3154002669000000000000000000000000000000000B2 -S315400266A000000000000000000000000000000000A2 -S315400266B00000000000000000000000000000000092 -S315400266C00000000000000000000000000000000082 -S315400266D00000000000000000000000000000000072 -S315400266E00000000000000000000000000000000062 -S315400266F00000000000000000000000000000000052 -S315400267000000000000000000000000000000000041 -S315400267100000000000000000000000000000000031 -S315400267200000000000000000000000000000000021 -S315400267300000000000000000000000000000000011 -S315400267400000000000000000000000000000000001 -S3154002675000000000000000000000000000000000F1 -S3154002676000000000000000000000000000000000E1 -S3154002677000000000000000000000000000000000D1 -S3154002678000000000000000000000000000000000C1 -S3154002679000000000000000000000000000000000B1 -S315400267A000000000000000000000000000000000A1 -S315400267B00000000000000000000000000000000091 -S315400267C00000000000000000000000000000000081 -S315400267D00000000000000000000000000000000071 -S315400267E00000000000000000000000000000000061 -S315400267F00000000000000000000000000000000051 -S315400268000000000000000000000000000000000040 -S315400268100000000000000000000000000000000030 -S315400268200000000000000000000000000000000020 -S315400268300000000000000000000000000000000010 -S315400268400000000000000000000000000000000000 -S3154002685000000000000000000000000000000000F0 -S3154002686000000000000000000000000000000000E0 -S3154002687000000000000000000000000000000000D0 -S3154002688000000000000000000000000000000000C0 -S3154002689000000000000000000000000000000000B0 -S315400268A000000000000000000000000000000000A0 -S315400268B00000000000000000000000000000000090 -S315400268C00000000000000000000000000000000080 -S315400268D00000000000000000000000000000000070 -S315400268E00000000000000000000000000000000060 -S315400268F00000000000000000000000000000000050 -S31540026900000000000000000000000000000000003F -S31540026910000000000000000000000000000000002F -S31540026920000000000000000000000000000000001F -S31540026930000000000000000000000000000000000F -S3154002694000000000000000000000000000000000FF -S3154002695000000000000000000000000000000000EF -S3154002696000000000000000000000000000000000DF -S3154002697000000000000000000000000000000000CF -S3154002698000000000000000000000000000000000BF -S3154002699000000000000000000000000000000000AF -S315400269A0000000000000000000000000000000009F -S315400269B0000000000000000000000000000000008F -S315400269C0000000000000000000000000000000007F -S315400269D0000000000000000000000000000000006F -S315400269E0000000000000000000000000000000005F -S315400269F0000000000000000000000000000000004F -S31540026A00000000000000000000000000000000003E -S31540026A10000000000000000000000000000000002E -S31540026A20000000000000000000000000000000001E -S31540026A30000000000000000000000000000000000E -S31540026A4000000000000000000000000000000000FE -S31540026A5000000000000000000000000000000000EE -S31540026A6000000000000000000000000000000000DE -S31540026A7000000000000000000000000000000000CE -S31540026A8000000000000000000000000000000000BE -S31540026A9000000000000000000000000000000000AE -S31540026AA0000000000000000000000000000000009E -S31540026AB0000000000000000000000000000000008E -S31540026AC0000000000000000000000000000000007E -S31540026AD0000000000000000000000000000000006E -S31540026AE0000000000000000000000000000000005E -S31540026AF0000000000000000000000000000000004E -S31540026B00000000000000000000000000000000003D -S31540026B10000000000000000000000000000000002D -S31540026B20000000000000000000000000000000001D -S31540026B30000000000000000000000000000000000D -S31540026B4000000000000000000000000000000000FD -S31540026B5000000000000000000000000000000000ED -S31540026B6000000000000000000000000000000000DD -S31540026B7000000000000000000000000000000000CD -S31540026B8000000000000000000000000000000000BD -S31540026B9000000000000000000000000000000000AD -S31540026BA0000000000000000000000000000000009D -S31540026BB0000000000000000000000000000000008D -S31540026BC0000000000000000000000000000000007D -S31540026BD0000000000000000000000000000000006D -S31540026BE0000000000000000000000000000000005D -S31540026BF0000000000000000000000000000000004D -S31540026C00000000000000000000000000000000003C -S31540026C10000000000000000000000000000000002C -S31540026C20000000000000000000000000000000001C -S31540026C30000000000000000000000000000000000C -S31540026C4000000000000000000000000000000000FC -S31540026C5000000000000000000000000000000000EC -S31540026C6000000000000000000000000000000000DC -S31540026C7000000000000000000000000000000000CC -S31540026C8000000000000000000000000000000000BC -S31540026C9000000000000000000000000000000000AC -S31540026CA0000000000000000000000000000000009C -S31540026CB0000000000000000000000000000000008C -S31540026CC0000000000000000000000000000000007C -S31540026CD0000000000000000000000000000000006C -S31540026CE0000000000000000000000000000000005C -S31540026CF0000000000000000000000000000000004C -S31540026D00000000000000000000000000000000003B -S31540026D10000000000000000000000000000000002B -S31540026D20000000000000000000000000000000001B -S31540026D30000000000000000000000000000000000B -S31540026D4000000000000000000000000000000000FB -S31540026D5000000000000000000000000000000000EB -S31540026D6000000000000000000000000000000000DB -S31540026D7000000000000000000000000000000000CB -S31540026D8000000000000000000000000000000000BB -S31540026D9000000000000000000000000000000000AB -S31540026DA0000000000000000000000000000000009B -S31540026DB0000000000000000000000000000000008B -S31540026DC0000000000000000000000000000000007B -S31540026DD0000000000000000000000000000000006B -S31540026DE0000000000000000000000000000000005B -S31540026DF0000000000000000000000000000000004B -S31540026E00000000000000000000000000000000003A -S31540026E10000000000000000000000000000000002A -S31540026E20000000000000000000000000000000001A -S31540026E30000000000000000000000000000000000A -S31540026E4000000000000000000000000000000000FA -S31540026E5000000000000000000000000000000000EA -S31540026E6000000000000000000000000000000000DA -S31540026E7000000000000000000000000000000000CA -S31540026E8000000000000000000000000000000000BA -S31540026E9000000000000000000000000000000000AA -S31540026EA0000000000000000000000000000000009A -S31540026EB0000000000000000000000000000000008A -S31540026EC0000000000000000000000000000000007A -S31540026ED0000000000000000000000000000000006A -S31540026EE0000000000000000000000000000000005A -S31540026EF0000000000000000000000000000000004A -S31540026F000000000000000000000000000000000039 -S31540026F100000000000000000000000000000000029 -S31540026F200000000000000000000000000000000019 -S31540026F300000000000000000000000000000000009 -S31540026F4000000000000000000000000000000000F9 -S31540026F5000000000000000000000000000000000E9 -S31540026F6000000000000000000000000000000000D9 -S31540026F7000000000000000000000000000000000C9 -S31540026F8000000000000000000000000000000000B9 -S31540026F9000000000000000000000000000000000A9 -S31540026FA00000000000000000000000000000000099 -S31540026FB00000000000000000000000000000000089 -S31540026FC00000000000000000000000000000000079 -S31540026FD00000000000000000000000000000000069 -S31540026FE00000000000000000000000000000000059 -S31540026FF00000000000000000000000000000000049 -S315400270000000000000000000000000000000000038 -S315400270100000000000000000000000000000000028 -S315400270200000000000000000000000000000000018 -S315400270300000000000000000000000000000000008 -S3154002704000000000000000000000000000000000F8 -S3154002705000000000000000000000000000000000E8 -S3154002706000000000000000000000000000000000D8 -S3154002707000000000000000000000000000000000C8 -S3154002708000000000000000000000000000000000B8 -S3154002709000000000000000000000000000000000A8 -S315400270A00000000000000000000000000000000098 -S315400270B00000000000000000000000000000000088 -S315400270C00000000000000000000000000000000078 -S315400270D00000000000000000000000000000000068 -S315400270E00000000000000000000000000000000058 -S315400270F00000000000000000000000000000000048 -S315400271000000000000000000000000000000000037 -S315400271100000000000000000000000000000000027 -S315400271200000000000000000000000000000000017 -S315400271300000000000000000000000000000000007 -S3154002714000000000000000000000000000000000F7 -S3154002715000000000000000000000000000000000E7 -S3154002716000000000000000000000000000000000D7 -S3154002717000000000000000000000000000000000C7 -S3154002718000000000000000000000000000000000B7 -S3154002719000000000000000000000000000000000A7 -S315400271A00000000000000000000000000000000097 -S315400271B00000000000000000000000000000000087 -S315400271C00000000000000000000000000000000077 -S315400271D00000000000000000000000000000000067 -S315400271E00000000000000000000000000000000057 -S315400271F00000000000000000000000000000000047 -S315400272000000000000000000000000000000000036 -S315400272100000000000000000000000000000000026 -S315400272200000000000000000000000000000000016 -S315400272300000000000000000000000000000000006 -S3154002724000000000000000000000000000000000F6 -S3154002725000000000000000000000000000000000E6 -S3154002726000000000000000000000000000000000D6 -S3154002727000000000000000000000000000000000C6 -S3154002728000000000000000000000000000000000B6 -S3154002729000000000000000000000000000000000A6 -S315400272A00000000000000000000000000000000096 -S315400272B00000000000000000000000000000000086 -S315400272C00000000000000000000000000000000076 -S315400272D00000000000000000000000000000000066 -S315400272E00000000000000000000000000000000056 -S315400272F00000000000000000000000000000000046 -S315400273000000000000000000000000000000000035 -S315400273100000000000000000000000000000000025 -S315400273200000000000000000000000000000000015 -S315400273300000000000000000000000000000000005 -S3154002734000000000000000000000000000000000F5 -S3154002735000000000000000000000000000000000E5 -S3154002736000000000000000000000000000000000D5 -S3154002737000000000000000000000000000000000C5 -S3154002738000000000000000000000000000000000B5 -S3154002739000000000000000000000000000000000A5 -S315400273A00000000000000000000000000000000095 -S315400273B00000000000000000000000000000000085 -S315400273C00000000000000000000000000000000075 -S315400273D00000000000000000000000000000000065 -S315400273E00000000000000000000000000000000055 -S315400273F00000000000000000000000000000000045 -S315400274000000000000000000000000000000000034 -S315400274100000000000000000000000000000000024 -S315400274200000000000000000000000000000000014 -S315400274300000000000000000000000000000000004 -S3154002744000000000000000000000000000000000F4 -S3154002745000000000000000000000000000000000E4 -S3154002746000000000000000000000000000000000D4 -S3154002747000000000000000000000000000000000C4 -S3154002748000000000000000000000000000000000B4 -S3154002749000000000000000000000000000000000A4 -S315400274A00000000000000000000000000000000094 -S315400274B00000000000000000000000000000000084 -S315400274C00000000000000000000000000000000074 -S315400274D00000000000000000000000000000000064 -S315400274E00000000000000000000000000000000054 -S315400274F00000000000000000000000000000000044 -S315400275000000000000000000000000000000000033 -S315400275100000000000000000000000000000000023 -S315400275200000000000000000000000000000000013 -S315400275300000000000000000000000000000000003 -S3154002754000000000000000000000000000000000F3 -S3154002755000000000000000000000000000000000E3 -S3154002756000000000000000000000000000000000D3 -S3154002757000000000000000000000000000000000C3 -S3154002758000000000000000000000000000000000B3 -S3154002759000000000000000000000000000000000A3 -S315400275A00000000000000000000000000000000093 -S315400275B00000000000000000000000000000000083 -S315400275C00000000000000000000000000000000073 -S315400275D00000000000000000000000000000000063 -S315400275E00000000000000000000000000000000053 -S315400275F00000000000000000000000000000000043 -S315400276000000000000000000000000000000000032 -S315400276100000000000000000000000000000000022 -S315400276200000000000000000000000000000000012 -S315400276300000000000000000000000000000000002 -S3154002764000000000000000000000000000000000F2 -S3154002765000000000000000000000000000000000E2 -S3154002766000000000000000000000000000000000D2 -S3154002767000000000000000000000000000000000C2 -S3154002768000000000000000000000000000000000B2 -S3154002769000000000000000000000000000000000A2 -S315400276A00000000000000000000000000000000092 -S315400276B00000000000000000000000000000000082 -S315400276C00000000000000000000000000000000072 -S315400276D00000000000000000000000000000000062 -S315400276E00000000000000000000000000000000052 -S315400276F00000000000000000000000000000000042 -S315400277000000000000000000000000000000000031 -S315400277100000000000000000000000000000000021 -S315400277200000000000000000000000000000000011 -S315400277300000000000000000000000000000000001 -S3154002774000000000000000000000000000000000F1 -S3154002775000000000000000000000000000000000E1 -S3154002776000000000000000000000000000000000D1 -S3154002777000000000000000000000000000000000C1 -S3154002778000000000000000000000000000000000B1 -S3154002779000000000000000000000000000000000A1 -S315400277A00000000000000000000000000000000091 -S315400277B00000000000000000000000000000000081 -S315400277C00000000000000000000000000000000071 -S315400277D00000000000000000000000000000000061 -S315400277E00000000000000000000000000000000051 -S315400277F00000000000000000000000000000000041 -S315400278000000000000000000000000000000000030 -S315400278100000000000000000000000000000000020 -S315400278200000000000000000000000000000000010 -S315400278300000000000000000000000000000000000 -S3154002784000000000000000000000000000000000F0 -S3154002785000000000000000000000000000000000E0 -S3154002786000000000000000000000000000000000D0 -S3154002787000000000000000000000000000000000C0 -S3154002788000000000000000000000000000000000B0 -S3154002789000000000000000000000000000000000A0 -S315400278A00000000000000000000000000000000090 -S315400278B00000000000000000000000000000000080 -S315400278C00000000000000000000000000000000070 -S315400278D00000000000000000000000000000000060 -S315400278E00000000000000000000000000000000050 -S315400278F00000000000000000000000000000000040 -S31540027900000000000000000000000000000000002F -S31540027910000000000000000000000000000000001F -S31540027920000000000000000000000000000000000F -S3154002793000000000000000000000000000000000FF -S3154002794000000000000000000000000000000000EF -S3154002795000000000000000000000000000000000DF -S3154002796000000000000000000000000000000000CF -S3154002797000000000000000000000000000000000BF -S3154002798000000000000000000000000000000000AF -S31540027990000000000000000000000000000000009F -S315400279A0000000000000000000000000000000008F -S315400279B0000000000000000000000000000000007F -S315400279C0000000000000000000000000000000006F -S315400279D0000000000000000000000000000000005F -S315400279E0000000000000000000000000000000004F -S315400279F0000000000000000000000000000000003F -S31540027A00000000000000000000000000000000002E -S31540027A10000000000000000000000000000000001E -S31540027A20000000000000000000000000000000000E -S31540027A3000000000000000000000000000000000FE -S31540027A4000000000000000000000000000000000EE -S31540027A5000000000000000000000000000000000DE -S31540027A6000000000000000000000000000000000CE -S31540027A7000000000000000000000000000000000BE -S31540027A8000000000000000000000000000000000AE -S31540027A90000000000000000000000000000000009E -S31540027AA0000000000000000000000000000000008E -S31540027AB0000000000000000000000000000000007E -S31540027AC0000000000000000000000000000000006E -S31540027AD0000000000000000000000000000000005E -S31540027AE0000000000000000000000000000000004E -S31540027AF0000000000000000000000000000000003E -S31540027B00000000000000000000000000000000002D -S31540027B10000000000000000000000000000000001D -S31540027B20000000000000000000000000000000000D -S31540027B3000000000000000000000000000000000FD -S31540027B4000000000000000000000000000000000ED -S31540027B5000000000000000000000000000000000DD -S31540027B6000000000000000000000000000000000CD -S31540027B7000000000000000000000000000000000BD -S31540027B8000000000000000000000000000000000AD -S31540027B90000000000000000000000000000000009D -S31540027BA0000000000000000000000000000000008D -S31540027BB0000000000000000000000000000000007D -S31540027BC0000000000000000000000000000000006D -S31540027BD0000000000000000000000000000000005D -S31540027BE0000000000000000000000000000000004D -S31540027BF0000000000000000000000000000000003D -S31540027C00000000000000000000000000000000002C -S31540027C10000000000000000000000000000000001C -S31540027C20000000000000000000000000000000000C -S31540027C3000000000000000000000000000000000FC -S31540027C4000000000000000000000000000000000EC -S31540027C5000000000000000000000000000000000DC -S31540027C6000000000000000000000000000000000CC -S31540027C7000000000000000000000000000000000BC -S31540027C8000000000000000000000000000000000AC -S31540027C90000000000000000000000000000000009C -S31540027CA0000000000000000000000000000000008C -S31540027CB0000000000000000000000000000000007C -S31540027CC0000000000000000000000000000000006C -S31540027CD0000000000000000000000000000000005C -S31540027CE0000000000000000000000000000000004C -S31540027CF0000000000000000000000000000000003C -S31540027D00000000000000000000000000000000002B -S31540027D10000000000000000000000000000000001B -S31540027D20000000000000000000000000000000000B -S31540027D3000000000000000000000000000000000FB -S31540027D4000000000000000000000000000000000EB -S31540027D5000000000000000000000000000000000DB -S31540027D6000000000000000000000000000000000CB -S31540027D7000000000000000000000000000000000BB -S31540027D8000000000000000000000000000000000AB -S31540027D90000000000000000000000000000000009B -S31540027DA0000000000000000000000000000000008B -S31540027DB0000000000000000000000000000000007B -S31540027DC0000000000000000000000000000000006B -S31540027DD0000000000000000000000000000000005B -S31540027DE0000000000000000000000000000000004B -S31540027DF0000000000000000000000000000000003B -S31540027E00000000000000000000000000000000002A -S31540027E10000000000000000000000000000000001A -S31540027E20000000000000000000000000000000000A -S31540027E3000000000000000000000000000000000FA -S31540027E4000000000000000000000000000000000EA -S31540027E5000000000000000000000000000000000DA -S31540027E6000000000000000000000000000000000CA -S31540027E7000000000000000000000000000000000BA -S31540027E8000000000000000000000000000000000AA -S31540027E90000000000000000000000000000000009A -S31540027EA0000000000000000000000000000000008A -S31540027EB0000000000000000000000000000000007A -S31540027EC0000000000000000000000000000000006A -S31540027ED0000000000000000000000000000000005A -S31540027EE0000000000000000000000000000000004A -S31540027EF0000000000000000000000000000000003A -S31540027F000000000000000000000000000000000029 -S31540027F100000000000000000000000000000000019 -S31540027F200000000000000000000000000000000009 -S31540027F3000000000000000000000000000000000F9 -S31540027F4000000000000000000000000000000000E9 -S31540027F5000000000000000000000000000000000D9 -S31540027F6000000000000000000000000000000000C9 -S31540027F7000000000000000000000000000000000B9 -S31540027F8000000000000000000000000000000000A9 -S31540027F900000000000000000000000000000000099 -S31540027FA00000000000000000000000000000000089 -S31540027FB00000000000000000000000000000000079 -S31540027FC00000000000000000000000000000000069 -S31540027FD00000000000000000000000000000000059 -S31540027FE00000000000000000000000000000000049 -S31540027FF00000000000000000000000000000000039 -S315400280000000003F0000000C0000003F000000128C -S31540028010000000FF00000018000000000000000001 -S315400280200000000000000000000000000000000008 -S3154002803000000000000000000000000000000000F8 -S3154002804000000000000000000000000000000000E8 -S3154002805000000000000000000000000000000000D8 -S3154002806000000000000000000000000000000000C8 -S3154002807000000000000000000000000000000000B8 -S3154002808000000000000000000000000000000000A8 -S315400280900000000000000000000000000000000098 -S315400280A00000000000000000000000000000000088 -S315400280B00000000000000000000000000000000078 -S315400280C00000000000000000000000000000000068 -S315400280D00000000000000000000000000000000058 -S315400280E00000000000000000000000000000000048 -S315400280F00000000000000000000000000000000038 -S315400281000000000000000000000000000000000027 -S315400281100000000000000000000000000000000017 -S315400281200000000000000000000000000000000007 -S3154002813000000000000000000000000000000000F7 -S3154002814000000000000000000000000000000000E7 -S3154002815000000000000000000000000000000000D7 -S3154002816000000000000000000000000000000000C7 -S3154002817000000000000000000000000000000000B7 -S3154002818000000000000000000000000000000000A7 -S315400281900000000000000000000000000000000097 -S315400281A00000000000000000000000000000000087 -S315400281B00000000000000000000000000000000077 -S315400281C00000000000000000000000000000000067 -S315400281D00000000000000000000000000000000057 -S315400281E00000000000000000000000000000000047 -S315400281F00000000000000000000000000000000037 -S315400282000000000000000000000000000000000026 -S315400282100000000000000000000000000000000016 -S315400282200000000000000000000000000000000006 -S3154002823000000000000000000000000000000000F6 -S3154002824000000000000000000000000000000000E6 -S3154002825000000000000000000000000000000000D6 -S3154002826000000000000000000000000000000000C6 -S3154002827000000000000000000000000000000000B6 -S3154002828000000000000000000000000000000000A6 -S315400282900000000000000000000000000000000096 -S315400282A00000000000000000000000000000000086 -S315400282B00000000000000000000000000000000076 -S315400282C00000000000000000000000000000000066 -S315400282D00000000000000000000000000000000056 -S315400282E00000000000000000000000000000000046 -S315400282F00000000000000000000000000000000036 -S315400283000000000000000000000000000000000025 -S315400283100000000000000000000000000000000015 -S315400283200000000000000000000000000000000005 -S3154002833000000000000000000000000000000000F5 -S3154002834000000000000000000000000000000000E5 -S3154002835000000000000000000000000000000000D5 -S3154002836000000000000000000000000000000000C5 -S3154002837000000000000000000000000000000000B5 -S3154002838000000000000000000000000000000000A5 -S315400283900000000000000000000000000000000095 -S315400283A00000000000000000000000000000000085 -S315400283B00000000000000000000000000000000075 -S315400283C00000000000000000000000000000000065 -S315400283D00000000000000000000000000000000055 -S315400283E00000000000000000000000000000000045 -S315400283F00000000000000000000000000000000035 -S315400284000000000000000000000000000000000024 -S315400284100000000000000000000000000000000014 -S315400284200000000000000000000000000000000004 -S3154002843000000000000000000000000000000000F4 -S3154002844000000000000000000000000000000000E4 -S3154002845000000000000000000000000000000000D4 -S3154002846000000000000000000000000000000000C4 -S3154002847000000000000000000000000000000000B4 -S3154002848000000000000000000000000000000000A4 -S315400284900000000000000000000000000000000094 -S315400284A00000000000000000000000000000000084 -S315400284B00000000000000000000000000000000074 -S315400284C00000000000000000000000000000000064 -S315400284D00000000000000000000000000000000054 -S315400284E00000000000000000000000000000000044 -S315400284F00000000000000000000000000000000034 -S315400285000000000000000000000000000000000023 -S315400285100000000000000000000000000000000013 -S315400285200000000000000000000000000000000003 -S3154002853000000000000000000000000000000000F3 -S3154002854000000000000000000000000000000000E3 -S3154002855000000000000000000000000000000000D3 -S3154002856000000000000000000000000000000000C3 -S3154002857000000000000000000000000000000000B3 -S3154002858000000000000000000000000000000000A3 -S315400285900000000000000000000000000000000093 -S315400285A00000000000000000000000000000000083 -S315400285B00000000000000000000000000000000073 -S315400285C00000000000000000000000000000000063 -S315400285D00000000000000000000000000000000053 -S315400285E00000000000000000000000000000000043 -S315400285F00000000000000000000000000000000033 -S315400286000000000000000000000000000000000022 -S315400286100000000000000000000000000000000012 -S315400286200000000000000000000000000000000002 -S3154002863000000000000000000000000000000000F2 -S3154002864000000000000000000000000000000000E2 -S3154002865000000000000000000000000000000000D2 -S3154002866000000000000000000000000000000000C2 -S3154002867000000000000000000000000000000000B2 -S3154002868000000000000000000000000000000000A2 -S315400286900000000000000000000000000000000092 -S315400286A00000000000000000000000000000000082 -S315400286B00000000000000000000000000000000072 -S315400286C00000000000000000000000000000000062 -S315400286D00000000000000000000000000000000052 -S315400286E00000000000000000000000000000000042 -S315400286F00000000000000000000000000000000032 -S315400287000000000000000000000000000000000021 -S315400287100000000000000000000000000000000011 -S315400287200000000000000000000000000000000001 -S3154002873000000000000000000000000000000000F1 -S3154002874000000000000000000000000000000000E1 -S3154002875000000000000000000000000000000000D1 -S3154002876000000000000000000000000000000000C1 -S3154002877000000000000000000000000000000000B1 -S3154002878000000000000000000000000000000000A1 -S315400287900000000000000000000000000000000091 -S315400287A00000000000000000000000000000000081 -S315400287B00000000000000000000000000000000071 -S315400287C00000000000000000000000000000000061 -S315400287D00000000000000000000000000000000051 -S315400287E00000000000000000000000000000000041 -S315400287F00000000000000000000000000000000031 -S315400288000000000000000000000000000000000020 -S315400288100000000000000000000000000000000010 -S315400288200000000000000000000000000000000000 -S3154002883000000000000000000000000000000000F0 -S3154002884000000000000000000000000000000000E0 -S3154002885000000000000000000000000000000000D0 -S3154002886000000000000000000000000000000000C0 -S3154002887000000000000000000000000000000000B0 -S3154002888000000000000000000000000000000000A0 -S315400288900000000000000000000000000000000090 -S315400288A00000000000000000000000000000000080 -S315400288B00000000000000000000000000000000070 -S315400288C00000000000000000000000000000000060 -S315400288D00000000000000000000000000000000050 -S315400288E00000000000000000000000000000000040 -S315400288F00000000000000000000000000000000030 -S31540028900000000000000000000000000000000001F -S31540028910000000000000000000000000000000000F -S3154002892000000000000000000000000000000000FF -S3154002893000000000000000000000000000000000EF -S3154002894000000000000000000000000000000000DF -S3154002895000000000000000000000000000000000CF -S3154002896000000000000000000000000000000000BF -S3154002897000000000000000000000000000000000AF -S31540028980000000000000000000000000000000009F -S31540028990000000000000000000000000000000008F -S315400289A0000000000000000000000000000000007F -S315400289B0000000000000000000000000000000006F -S315400289C0000000000000000000000000000000005F -S315400289D0000000000000000000000000000000004F -S315400289E0000000000000000000000000000000003F -S315400289F0000000000000000000000000000000002F -S31540028A00000000000000000000000000000000001E -S31540028A10000000000000000000000000000000000E -S31540028A2000000000000000000000000000000000FE -S31540028A3000000000000000000000000000000000EE -S31540028A4000000000000000000000000000000000DE -S31540028A5000000000000000000000000000000000CE -S31540028A6000000000000000000000000000000000BE -S31540028A7000000000000000000000000000000000AE -S31540028A80000000000000000000000000000000009E -S31540028A90000000000000000000000000000000008E -S31540028AA0000000000000000000000000000000007E -S31540028AB0000000000000000000000000000000006E -S31540028AC0000000000000000000000000000000005E -S31540028AD0000000000000000000000000000000004E -S31540028AE0000000000000000000000000000000003E -S31540028AF0000000000000000000000000000000002E -S31540028B00000000000000000000000000000000001D -S31540028B10000000000000000000000000000000000D -S31540028B2000000000000000000000000000000000FD -S31540028B3000000000000000000000000000000000ED -S31540028B4000000000000000000000000000000000DD -S31540028B5000000000000000000000000000000000CD -S31540028B6000000000000000000000000000000000BD -S31540028B7000000000000000000000000000000000AD -S31540028B80000000000000000000000000000000009D -S31540028B90000000000000000000000000000000008D -S31540028BA0000000000000000000000000000000007D -S31540028BB0000000000000000000000000000000006D -S31540028BC0000000000000000000000000000000005D -S31540028BD0000000000000000000000000000000004D -S31540028BE0000000000000000000000000000000003D -S31540028BF0000000000000000000000000000000002D -S31540028C00000000000000000000000000000000001C -S31540028C10000000000000000000000000000000000C -S31540028C2000000000000000000000000000000000FC -S31540028C3000000000000000000000000000000000EC -S31540028C4000000000000000000000000000000000DC -S31540028C5000000000000000000000000000000000CC -S31540028C6000000000000000000000000000000000BC -S31540028C7000000000000000000000000000000000AC -S31540028C80000000000000000000000000000000009C -S31540028C90000000000000000000000000000000008C -S31540028CA0000000000000000000000000000000007C -S31540028CB0000000000000000000000000000000006C -S31540028CC0000000000000000000000000000000005C -S31540028CD0000000000000000000000000000000004C -S31540028CE0000000000000000000000000000000003C -S31540028CF0000000000000000000000000000000002C -S31540028D00000000000000000000000000000000001B -S31540028D10000000000000000000000000000000000B -S31540028D2000000000000000000000000000000000FB -S31540028D3000000000000000000000000000000000EB -S31540028D4000000000000000000000000000000000DB -S31540028D5000000000000000000000000000000000CB -S31540028D6000000000000000000000000000000000BB -S31540028D7000000000000000000000000000000000AB -S31540028D80000000000000000000000000000000009B -S31540028D90000000000000000000000000000000008B -S31540028DA0000000000000000000000000000000007B -S31540028DB0000000000000000000000000000000006B -S31540028DC0000000000000000000000000000000005B -S31540028DD0000000000000000000000000000000004B -S31540028DE0000000000000000000000000000000003B -S31540028DF0000000000000000000000000000000002B -S31540028E00000000000000000000000000000000001A -S31540028E10000000000000000000000000000000000A -S31540028E2000000000000000000000000000000000FA -S31540028E3000000000000000000000000000000000EA -S31540028E4000000000000000000000000000000000DA -S31540028E5000000000000000000000000000000000CA -S31540028E6000000000000000000000000000000000BA -S31540028E7000000000000000000000000000000000AA -S31540028E80000000000000000000000000000000009A -S31540028E90000000000000000000000000000000008A -S31540028EA0000000000000000000000000000000007A -S31540028EB0000000000000000000000000000000006A -S31540028EC0000000000000000000000000000000005A -S31540028ED0000000000000000000000000000000004A -S31540028EE0000000000000000000000000000000003A -S31540028EF0000000000000000000000000000000002A -S31540028F000000000000000000000000000000000019 -S31540028F100000000000000000000000000000000009 -S31540028F2000000000000000000000000000000000F9 -S31540028F3000000000000000000000000000000000E9 -S31540028F4000000000000000000000000000000000D9 -S31540028F5000000000000000000000000000000000C9 -S31540028F6000000000000000000000000000000000B9 -S31540028F7000000000000000000000000000000000A9 -S31540028F800000000000000000000000000000000099 -S31540028F900000000000000000000000000000000089 -S31540028FA00000000000000000000000000000000079 -S31540028FB00000000000000000000000000000000069 -S31540028FC00000000000000000000000000000000059 -S31540028FD00000000000000000000000000000000049 -S31540028FE00000000000000000000000000000000039 -S31540028FF00000000000000000000000000000000029 -S315400290000000000000000000000000000000000018 -S315400290100000000000000000000000000000000008 -S3154002902000000000000000000000000000000000F8 -S3154002903000000000000000000000000000000000E8 -S3154002904000000000000000000000000000000000D8 -S3154002905000000000000000000000000000000000C8 -S3154002906000000000000000000000000000000000B8 -S3154002907000000000000000000000000000000000A8 -S315400290800000000000000000000000000000000098 -S315400290900000000000000000000000000000000088 -S315400290A00000000000000000000000000000000078 -S315400290B00000000000000000000000000000000068 -S315400290C00000000000000000000000000000000058 -S315400290D00000000000000000000000000000000048 -S315400290E00000000000000000000000000000000038 -S315400290F00000000000000000000000000000000028 -S315400291000000000000000000000000000000000017 -S315400291100000000000000000000000000000000007 -S3154002912000000000000000000000000000000000F7 -S3154002913000000000000000000000000000000000E7 -S3154002914000000000000000000000000000000000D7 -S3154002915000000000000000000000000000000000C7 -S3154002916000000000000000000000000000000000B7 -S3154002917000000000000000000000000000000000A7 -S315400291800000000000000000000000000000000097 -S315400291900000000000000000000000000000000087 -S315400291A00000000000000000000000000000000077 -S315400291B00000000000000000000000000000000067 -S315400291C00000000000000000000000000000000057 -S315400291D00000000000000000000000000000000047 -S315400291E00000000000000000000000000000000037 -S315400291F00000000000000000000000000000000027 -S315400292000000000000000000000000000000000016 -S315400292100000000000000000000000000000000006 -S3154002922000000000000000000000000000000000F6 -S3154002923000000000000000000000000000000000E6 -S3154002924000000000000000000000000000000000D6 -S3154002925000000000000000000000000000000000C6 -S3154002926000000000000000000000000000000000B6 -S3154002927000000000000000000000000000000000A6 -S315400292800000000000000000000000000000000096 -S315400292900000000000000000000000000000000086 -S315400292A00000000000000000000000000000000076 -S315400292B00000000000000000000000000000000066 -S315400292C00000000000000000000000000000000056 -S315400292D00000000000000000000000000000000046 -S315400292E00000000000000000000000000000000036 -S315400292F00000000000000000000000000000000026 -S315400293000000000000000000000000000000000015 -S315400293100000000000000000000000000000000005 -S3154002932000000000000000000000000000000000F5 -S3154002933000000000000000000000000000000000E5 -S3154002934000000000000000000000000000000000D5 -S3154002935000000000000000000000000000000000C5 -S3154002936000000000000000000000000000000000B5 -S3154002937000000000000000000000000000000000A5 -S315400293800000000000000000000000000000000095 -S315400293900000000000000000000000000000000085 -S315400293A00000000000000000000000000000000075 -S315400293B00000000000000000000000000000000065 -S315400293C00000000000000000000000000000000055 -S315400293D00000000000000000000000000000000045 -S315400293E00000000000000000000000000000000035 -S315400293F00000000000000000000000000000000025 -S315400294000000000000000000000000000000000014 -S315400294100000000000000000000000000000000004 -S3154002942000000000000000000000000000000000F4 -S3154002943000000000000000000000000000000000E4 -S3154002944000000000000000000000000000000000D4 -S3154002945000000000000000000000000000000000C4 -S3154002946000000000000000000000000000000000B4 -S3154002947000000000000000000000000000000000A4 -S315400294800000000000000000000000000000000094 -S315400294900000000000000000000000000000000084 -S315400294A00000000000000000000000000000000074 -S315400294B00000000000000000000000000000000064 -S315400294C00000000000000000000000000000000054 -S315400294D00000000000000000000000000000000044 -S315400294E00000000000000000000000000000000034 -S315400294F00000000000000000000000000000000024 -S315400295000000000000000000000000000000000013 -S315400295100000000000000000000000000000000003 -S3154002952000000000000000000000000000000000F3 -S3154002953000000000000000000000000000000000E3 -S3154002954000000000000000000000000000000000D3 -S3154002955000000000000000000000000000000000C3 -S3154002956000000000000000000000000000000000B3 -S3154002957000000000000000000000000000000000A3 -S315400295800000000000000000000000000000000093 -S315400295900000000000000000000000000000000083 -S315400295A00000000000000000000000000000000073 -S315400295B00000000000000000000000000000000063 -S315400295C00000000000000000000000000000000053 -S315400295D00000000000000000000000000000000043 -S315400295E00000000000000000000000000000000033 -S315400295F00000000000000000000000000000000023 -S315400296000000000000000000000000000000000012 -S315400296100000000000000000000000000000000002 -S3154002962000000000000000000000000000000000F2 -S3154002963000000000000000000000000000000000E2 -S3154002964000000000000000000000000000000000D2 -S3154002965000000000000000000000000000000000C2 -S3154002966000000000000000000000000000000000B2 -S3154002967000000000000000000000000000000000A2 -S315400296800000000000000000000000000000000092 -S315400296900000000000000000000000000000000082 -S315400296A00000000000000000000000000000000072 -S315400296B00000000000000000000000000000000062 -S315400296C00000000000000000000000000000000052 -S315400296D00000000000000000000000000000000042 -S315400296E00000000000000000000000000000000032 -S315400296F00000000000000000000000000000000022 -S315400297000000000000000000000000000000000011 -S315400297100000000000000000000000000000000001 -S3154002972000000000000000000000000000000000F1 -S3154002973000000000000000000000000000000000E1 -S3154002974000000000000000000000000000000000D1 -S3154002975000000000000000000000000000000000C1 -S3154002976000000000000000000000000000000000B1 -S3154002977000000000000000000000000000000000A1 -S315400297800000000000000000000000000000000091 -S315400297900000000000000000000000000000000081 -S315400297A00000000000000000000000000000000071 -S315400297B00000000000000000000000000000000061 -S315400297C00000000000000000000000000000000051 -S315400297D00000000000000000000000000000000041 -S315400297E00000000000000000000000000000000031 -S315400297F00000000000000000000000000000000021 -S315400298000000000000000000000000000000000010 -S315400298100000000000000000000000000000000000 -S3154002982000000000000000000000000000000000F0 -S3154002983000000000000000000000000000000000E0 -S3154002984000000000000000000000000000000000D0 -S3154002985000000000000000000000000000000000C0 -S3154002986000000000000000000000000000000000B0 -S3154002987000000000000000000000000000000000A0 -S315400298800000000000000000000000000000000090 -S315400298900000000000000000000000000000000080 -S315400298A00000000000000000000000000000000070 -S315400298B00000000000000000000000000000000060 -S315400298C00000000000000000000000000000000050 -S315400298D00000000000000000000000000000000040 -S315400298E00000000000000000000000000000000030 -S315400298F00000000000000000000000000000000020 -S31540029900000000000000000000000000000000000F -S3154002991000000000000000000000000000000000FF -S3154002992000000000000000000000000000000000EF -S3154002993000000000000000000000000000000000DF -S3154002994000000000000000000000000000000000CF -S3154002995000000000000000000000000000000000BF -S3154002996000000000000000000000000000000000AF -S31540029970000000000000000000000000000000009F -S31540029980000000000000000000000000000000008F -S31540029990000000000000000000000000000000007F -S315400299A0000000000000000000000000000000006F -S315400299B0000000000000000000000000000000005F -S315400299C0000000000000000000000000000000004F -S315400299D0000000000000000000000000000000003F -S315400299E0000000000000000000000000000000002F -S315400299F0000000000000000000000000000000001F -S31540029A00000000000000000000000000000000000E -S31540029A1000000000000000000000000000000000FE -S31540029A2000000000000000000000000000000000EE -S31540029A3000000000000000000000000000000000DE -S31540029A4000000000000000000000000000000000CE -S31540029A5000000000000000000000000000000000BE -S31540029A6000000000000000000000000000000000AE -S31540029A70000000000000000000000000000000009E -S31540029A80000000000000000000000000000000008E -S31540029A90000000000000000000000000000000007E -S31540029AA0000000000000000000000000000000006E -S31540029AB0000000000000000000000000000000005E -S31540029AC0000000000000000000000000000000004E -S31540029AD0000000000000000000000000000000003E -S31540029AE0000000000000000000000000000000002E -S31540029AF0000000000000000000000000000000001E -S31540029B00000000000000000000000000000000000D -S31540029B1000000000000000000000000000000000FD -S31540029B2000000000000000000000000000000000ED -S31540029B3000000000000000000000000000000000DD -S31540029B4000000000000000000000000000000000CD -S31540029B5000000000000000000000000000000000BD -S31540029B6000000000000000000000000000000000AD -S31540029B70000000000000000000000000000000009D -S31540029B80000000000000000000000000000000008D -S31540029B90000000000000000000000000000000007D -S31540029BA0000000000000000000000000000000006D -S31540029BB0000000000000000000000000000000005D -S31540029BC0000000000000000000000000000000004D -S31540029BD0000000000000000000000000000000003D -S31540029BE0000000000000000000000000000000002D -S31540029BF0000000000000000000000000000000001D -S31540029C00000000000000000000000000000000000C -S31540029C1000000000000000000000000000000000FC -S31540029C2000000000000000000000000000000000EC -S31540029C3000000000000000000000000000000000DC -S31540029C4000000000000000000000000000000000CC -S31540029C5000000000000000000000000000000000BC -S31540029C6000000000000000000000000000000000AC -S31540029C70000000000000000000000000000000009C -S31540029C80000000000000000000000000000000008C -S31540029C90000000000000000000000000000000007C -S31540029CA0000000000000000000000000000000006C -S31540029CB0000000000000000000000000000000005C -S31540029CC0000000000000000000000000000000004C -S31540029CD0000000000000000000000000000000003C -S31540029CE0000000000000000000000000000000002C -S31540029CF0000000000000000000000000000000001C -S31540029D00000000000000000000000000000000000B -S31540029D1000000000000000000000000000000000FB -S31540029D2000000000000000000000000000000000EB -S31540029D3000000000000000000000000000000000DB -S31540029D4000000000000000000000000000000000CB -S31540029D5000000000000000000000000000000000BB -S31540029D6000000000000000000000000000000000AB -S31540029D70000000000000000000000000000000009B -S31540029D80000000000000000000000000000000008B -S31540029D90000000000000000000000000000000007B -S31540029DA0000000000000000000000000000000006B -S31540029DB0000000000000000000000000000000005B -S31540029DC0000000000000000000000000000000004B -S31540029DD0000000000000000000000000000000003B -S31540029DE0000000000000000000000000000000002B -S31540029DF0000000000000000000000000000000001B -S31540029E00000000000000000000000000000000000A -S31540029E1000000000000000000000000000000000FA -S31540029E2000000000000000000000000000000000EA -S31540029E3000000000000000000000000000000000DA -S31540029E4000000000000000000000000000000000CA -S31540029E5000000000000000000000000000000000BA -S31540029E6000000000000000000000000000000000AA -S31540029E70000000000000000000000000000000009A -S31540029E80000000000000000000000000000000008A -S31540029E90000000000000000000000000000000007A -S31540029EA0000000000000000000000000000000006A -S31540029EB0000000000000000000000000000000005A -S31540029EC0000000000000000000000000000000004A -S31540029ED0000000000000000000000000000000003A -S31540029EE0000000000000000000000000000000002A -S31540029EF0000000000000000000000000000000001A -S31540029F000000000000000000000000000000000009 -S31540029F1000000000000000000000000000000000F9 -S31540029F2000000000000000000000000000000000E9 -S31540029F3000000000000000000000000000000000D9 -S31540029F4000000000000000000000000000000000C9 -S31540029F5000000000000000000000000000000000B9 -S31540029F6000000000000000000000000000000000A9 -S31540029F700000000000000000000000000000000099 -S31540029F800000000000000000000000000000000089 -S31540029F900000000000000000000000000000000079 -S31540029FA00000000000000000000000000000000069 -S31540029FB00000000000000000000000000000000059 -S31540029FC00000000000000000000000000000000049 -S31540029FD00000000000000000000000000000000039 -S31540029FE00000000000000000000000000000000029 -S31540029FF00000000000000000000000000000000019 -S3154002A0000000000000000000000000000000000008 -S3154002A01000000000000000000000000000000000F8 -S3154002A02000000000000000000000000000000000E8 -S3154002A03000000000000000000000000000000000D8 -S3154002A04000000000000000000000000000000000C8 -S3154002A05000000000000000000000000000000000B8 -S3154002A06000000000000000000000000000000000A8 -S3154002A0700000000000000000000000000000000098 -S3154002A0800000000000000000000000000000000088 -S3154002A0900000000000000000000000000000000078 -S3154002A0A00000000000000000000000000000000068 -S3154002A0B00000000000000000000000000000000058 -S3154002A0C00000000000000000000000000000000048 -S3154002A0D00000000000000000000000000000000038 -S3154002A0E00000000000000000000000000000000028 -S3154002A0F00000000000000000000000000000000018 -S3154002A1000000000000000000000000000000000007 -S3154002A11000000000000000000000000000000000F7 -S3154002A12000000000000000000000000000000000E7 -S3154002A13000000000000000000000000000000000D7 -S3154002A14000000000000000000000000000000000C7 -S3154002A15000000000000000000000000000000000B7 -S3154002A16000000000000000000000000000000000A7 -S3154002A1700000000000000000000000000000000097 -S3154002A1800000000000000000000000000000000087 -S3154002A1900000000000000000000000000000000077 -S3154002A1A00000000000000000000000000000000067 -S3154002A1B00000000000000000000000000000000057 -S3154002A1C00000000000000000000000000000000047 -S3154002A1D00000000000000000000000000000000037 -S3154002A1E00000000000000000000000000000000027 -S3154002A1F00000000000000000000000000000000017 -S3154002A2000000000000000000000000000000000006 -S3154002A21000000000000000000000000000000000F6 -S3154002A22000000000000000000000000000000000E6 -S3154002A23000000000000000000000000000000000D6 -S3154002A24000000000000000000000000000000000C6 -S3154002A25000000000000000000000000000000000B6 -S3154002A26000000000000000000000000000000000A6 -S3154002A2700000000000000000000000000000000096 -S3154002A2800000000000000000000000000000000086 -S3154002A2900000000000000000000000000000000076 -S3154002A2A00000000000000000000000000000000066 -S3154002A2B00000000000000000000000000000000056 -S3154002A2C00000000000000000000000000000000046 -S3154002A2D00000000000000000000000000000000036 -S3154002A2E00000000000000000000000000000000026 -S3154002A2F00000000000000000000000000000000016 -S3154002A3000000000000000000000000000000000005 -S3154002A31000000000000000000000000000000000F5 -S3154002A32000000000000000000000000000000000E5 -S3154002A33000000000000000000000000000000000D5 -S3154002A34000000000000000000000000000000000C5 -S3154002A35000000000000000000000000000000000B5 -S3154002A36000000000000000000000000000000000A5 -S3154002A3700000000000000000000000000000000095 -S3154002A3800000000000000000000000000000000085 -S3154002A3900000000000000000000000000000000075 -S3154002A3A00000000000000000000000000000000065 -S3154002A3B00000000000000000000000000000000055 -S3154002A3C00000000000000000000000000000000045 -S3154002A3D00000000000000000000000000000000035 -S3154002A3E00000000000000000000000000000000025 -S3154002A3F00000000000000000000000000000000015 -S3154002A4000000000000000000000000000000000004 -S3154002A41000000000000000000000000000000000F4 -S3154002A42000000000000000000000000000000000E4 -S3154002A43000000000000000000000000000000000D4 -S3154002A44000000000000000000000000000000000C4 -S3154002A45000000000000000000000000000000000B4 -S3154002A46000000000000000000000000000000000A4 -S3154002A4700000000000000000000000000000000094 -S3154002A4800000000000000000000000000000000084 -S3154002A4900000000000000000000000000000000074 -S3154002A4A00000000000000000000000000000000064 -S3154002A4B00000000000000000000000000000000054 -S3154002A4C00000000000000000000000000000000044 -S3154002A4D00000000000000000000000000000000034 -S3154002A4E00000000000000000000000000000000024 -S3154002A4F00000000000000000000000000000000014 -S3154002A5000000000000000000000000000000000003 -S3154002A51000000000000000000000000000000000F3 -S3154002A52000000000000000000000000000000000E3 -S3154002A53000000000000000000000000000000000D3 -S3154002A54000000000000000000000000000000000C3 -S3154002A55000000000000000000000000000000000B3 -S3154002A56000000000000000000000000000000000A3 -S3154002A5700000000000000000000000000000000093 -S3154002A5800000000000000000000000000000000083 -S3154002A5900000000000000000000000000000000073 -S3154002A5A00000000000000000000000000000000063 -S3154002A5B00000000000000000000000000000000053 -S3154002A5C00000000000000000000000000000000043 -S3154002A5D00000000000000000000000000000000033 -S3154002A5E00000000000000000000000000000000023 -S3154002A5F00000000000000000000000000000000013 -S3154002A6000000000000000000000000000000000002 -S3154002A61000000000000000000000000000000000F2 -S3154002A62000000000000000000000000000000000E2 -S3154002A63000000000000000000000000000000000D2 -S3154002A64000000000000000000000000000000000C2 -S3154002A65000000000000000000000000000000000B2 -S3154002A66000000000000000000000000000000000A2 -S3154002A6700000000000000000000000000000000092 -S3154002A6800000000000000000000000000000000082 -S3154002A6900000000000000000000000000000000072 -S3154002A6A00000000000000000000000000000000062 -S3154002A6B00000000000000000000000000000000052 -S3154002A6C00000000000000000000000000000000042 -S3154002A6D00000000000000000000000000000000032 -S3154002A6E00000000000000000000000000000000022 -S3154002A6F00000000000000000000000000000000012 -S3154002A7000000000000000000000000000000000001 -S3154002A71000000000000000000000000000000000F1 -S3154002A72000000000000000000000000000000000E1 -S3154002A73000000000000000000000000000000000D1 -S3154002A74000000000000000000000000000000000C1 -S3154002A75000000000000000000000000000000000B1 -S3154002A76000000000000000000000000000000000A1 -S3154002A7700000000000000000000000000000000091 -S3154002A7800000000000000000000000000000000081 -S3154002A7900000000000000000000000000000000071 -S3154002A7A00000000000000000000000000000000061 -S3154002A7B00000000000000000000000000000000051 -S3154002A7C00000000000000000000000000000000041 -S3154002A7D00000000000000000000000000000000031 -S3154002A7E00000000000000000000000000000000021 -S3154002A7F00000000000000000000000000000000011 -S3154002A8000000000000000000000000000000000000 -S3154002A81000000000000000000000000000000000F0 -S3154002A82000000000000000000000000000000000E0 -S3154002A83000000000000000000000000000000000D0 -S3154002A84000000000000000000000000000000000C0 -S3154002A85000000000000000000000000000000000B0 -S3154002A86000000000000000000000000000000000A0 -S3154002A8700000000000000000000000000000000090 -S3154002A8800000000000000000000000000000000080 -S3154002A8900000000000000000000000000000000070 -S3154002A8A00000000000000000000000000000000060 -S3154002A8B00000000000000000000000000000000050 -S3154002A8C00000000000000000000000000000000040 -S3154002A8D00000000000000000000000000000000030 -S3154002A8E00000000000000000000000000000000020 -S3154002A8F00000000000000000000000000000000010 -S3154002A90000000000000000000000000000000000FF -S3154002A91000000000000000000000000000000000EF -S3154002A92000000000000000000000000000000000DF -S3154002A93000000000000000000000000000000000CF -S3154002A94000000000000000000000000000000000BF -S3154002A95000000000000000000000000000000000AF -S3154002A960000000000000000000000000000000009F -S3154002A970000000000000000000000000000000008F -S3154002A980000000000000000000000000000000007F -S3154002A990000000000000000000000000000000006F -S3154002A9A0000000000000000000000000000000005F -S3154002A9B0000000000000000000000000000000004F -S3154002A9C0000000000000000000000000000000003F -S3154002A9D0000000000000000000000000000000002F -S3154002A9E0000000000000000000000000000000001F -S3154002A9F0000000000000000000000000000000000F -S3154002AA0000000000000000000000000000000000FE -S3154002AA1000000000000000000000000000000000EE -S3154002AA2000000000000000000000000000000000DE -S3154002AA3000000000000000000000000000000000CE -S3154002AA4000000000000000000000000000000000BE -S3154002AA5000000000000000000000000000000000AE -S3154002AA60000000000000000000000000000000009E -S3154002AA70000000000000000000000000000000008E -S3154002AA80000000000000000000000000000000007E -S3154002AA90000000000000000000000000000000006E -S3154002AAA0000000000000000000000000000000005E -S3154002AAB0000000000000000000000000000000004E -S3154002AAC0000000000000000000000000000000003E -S3154002AAD0000000000000000000000000000000002E -S3154002AAE0000000000000000000000000000000001E -S3154002AAF0000000000000000000000000000000000E -S3154002AB0000000000000000000000000000000000FD -S3154002AB1000000000000000000000000000000000ED -S3154002AB2000000000000000000000000000000000DD -S3154002AB3000000000000000000000000000000000CD -S3154002AB4000000000000000000000000000000000BD -S3154002AB5000000000000000000000000000000000AD -S3154002AB60000000000000000000000000000000009D -S3154002AB70000000000000000000000000000000008D -S3154002AB80000000000000000000000000000000007D -S3154002AB90000000000000000000000000000000006D -S3154002ABA0000000000000000000000000000000005D -S3154002ABB0000000000000000000000000000000004D -S3154002ABC0000000000000000000000000000000003D -S3154002ABD0000000000000000000000000000000002D -S3154002ABE0000000000000000000000000000000001D -S3154002ABF0000000000000000000000000000000000D -S3154002AC0000000000000000000000000000000000FC -S3154002AC1000000000000000000000000000000000EC -S3154002AC2000000000000000000000000000000000DC -S3154002AC3000000000000000000000000000000000CC -S3154002AC4000000000000000000000000000000000BC -S3154002AC5000000000000000000000000000000000AC -S3154002AC60000000000000000000000000000000009C -S3154002AC70000000000000000000000000000000008C -S3154002AC80000000000000000000000000000000007C -S3154002AC90000000000000000000000000000000006C -S3154002ACA0000000000000000000000000000000005C -S3154002ACB0000000000000000000000000000000004C -S3154002ACC0000000000000000000000000000000003C -S3154002ACD0000000000000000000000000000000002C -S3154002ACE0000000000000000000000000000000001C -S3154002ACF0000000000000000000000000000000000C -S3154002AD0000000000000000000000000000000000FB -S3154002AD1000000000000000000000000000000000EB -S3154002AD2000000000000000000000000000000000DB -S3154002AD3000000000000000000000000000000000CB -S3154002AD4000000000000000000000000000000000BB -S3154002AD5000000000000000000000000000000000AB -S3154002AD60000000000000000000000000000000009B -S3154002AD70000000000000000000000000000000008B -S3154002AD80000000000000000000000000000000007B -S3154002AD90000000000000000000000000000000006B -S3154002ADA0000000000000000000000000000000005B -S3154002ADB0000000000000000000000000000000004B -S3154002ADC0000000000000000000000000000000003B -S3154002ADD0000000000000000000000000000000002B -S3154002ADE0000000000000000000000000000000001B -S3154002ADF0000000000000000000000000000000000B -S3154002AE0000000000000000000000000000000000FA -S3154002AE1000000000000000000000000000000000EA -S3154002AE2000000000000000000000000000000000DA -S3154002AE3000000000000000000000000000000000CA -S3154002AE4000000000000000000000000000000000BA -S3154002AE5000000000000000000000000000000000AA -S3154002AE60000000000000000000000000000000009A -S3154002AE70000000000000000000000000000000008A -S3154002AE80000000000000000000000000000000007A -S3154002AE90000000000000000000000000000000006A -S3154002AEA0000000000000000000000000000000005A -S3154002AEB0000000000000000000000000000000004A -S3154002AEC0000000000000000000000000000000003A -S3154002AED0000000000000000000000000000000002A -S3154002AEE0000000000000000000000000000000001A -S3154002AEF0000000000000000000000000000000000A -S3154002AF0000000000000000000000000000000000F9 -S3154002AF1000000000000000000000000000000000E9 -S3154002AF2000000000000000000000000000000000D9 -S3154002AF3000000000000000000000000000000000C9 -S3154002AF4000000000000000000000000000000000B9 -S3154002AF5000000000000000000000000000000000A9 -S3154002AF600000000000000000000000000000000099 -S3154002AF700000000000000000000000000000000089 -S3154002AF800000000000000000000000000000000079 -S3154002AF900000000000000000000000000000000069 -S3154002AFA00000000000000000000000000000000059 -S3154002AFB00000000000000000000000000000000049 -S3154002AFC00000000000000000000000000000000039 -S3154002AFD00000000000000000000000000000000029 -S3154002AFE00000000000000000000000000000000019 -S3154002AFF00000000000000000000000000000000009 -S3154002B00000000000000000000000000000000000F8 -S3154002B01000000000000000000000000000000000E8 -S3154002B02000000000000000000000000000000000D8 -S3154002B03000000000000000000000000000000000C8 -S3154002B04000000000000000000000000000000000B8 -S3154002B05000000000000000000000000000000000A8 -S3154002B0600000000000000000000000000000000098 -S3154002B0700000000000000000000000000000000088 -S3154002B0800000000000000000000000000000000078 -S3154002B0900000000000000000000000000000000068 -S3154002B0A00000000000000000000000000000000058 -S3154002B0B00000000000000000000000000000000048 -S3154002B0C00000000000000000000000000000000038 -S3154002B0D00000000000000000000000000000000028 -S3154002B0E00000000000000000000000000000000018 -S3154002B0F00000000000000000000000000000000008 -S3154002B10000000000000000000000000000000000F7 -S3154002B11000000000000000000000000000000000E7 -S3154002B12000000000000000000000000000000000D7 -S3154002B13000000000000000000000000000000000C7 -S3154002B14000000000000000000000000000000000B7 -S3154002B15000000000000000000000000000000000A7 -S3154002B1600000000000000000000000000000000097 -S3154002B1700000000000000000000000000000000087 -S3154002B1800000000000000000000000000000000077 -S3154002B1900000000000000000000000000000000067 -S3154002B1A00000000000000000000000000000000057 -S3154002B1B00000000000000000000000000000000047 -S3154002B1C00000000000000000000000000000000037 -S3154002B1D00000000000000000000000000000000027 -S3154002B1E00000000000000000000000000000000017 -S3154002B1F00000000000000000000000000000000007 -S3154002B20000000000000000000000000000000000F6 -S3154002B21000000000000000000000000000000000E6 -S3154002B22000000000000000000000000000000000D6 -S3154002B23000000000000000000000000000000000C6 -S3154002B24000000000000000000000000000000000B6 -S3154002B25000000000000000000000000000000000A6 -S3154002B2600000000000000000000000000000000096 -S3154002B2700000000000000000000000000000000086 -S3154002B2800000000000000000000000000000000076 -S3154002B2900000000000000000000000000000000066 -S3154002B2A00000000000000000000000000000000056 -S3154002B2B00000000000000000000000000000000046 -S3154002B2C00000000000000000000000000000000036 -S3154002B2D00000000000000000000000000000000026 -S3154002B2E00000000000000000000000000000000016 -S3154002B2F00000000000000000000000000000000006 -S3154002B30000000000000000000000000000000000F5 -S3154002B31000000000000000000000000000000000E5 -S3154002B32000000000000000000000000000000000D5 -S3154002B33000000000000000000000000000000000C5 -S3154002B34000000000000000000000000000000000B5 -S3154002B35000000000000000000000000000000000A5 -S3154002B3600000000000000000000000000000000095 -S3154002B3700000000000000000000000000000000085 -S3154002B3800000000000000000000000000000000075 -S3154002B3900000000000000000000000000000000065 -S3154002B3A00000000000000000000000000000000055 -S3154002B3B00000000000000000000000000000000045 -S3154002B3C00000000000000000000000000000000035 -S3154002B3D00000000000000000000000000000000025 -S3154002B3E00000000000000000000000000000000015 -S3154002B3F00000000000000000000000000000000005 -S3154002B40000000000000000000000000000000000F4 -S3154002B41000000000000000000000000000000000E4 -S3154002B42000000000000000000000000000000000D4 -S3154002B43000000000000000000000000000000000C4 -S3154002B44000000000000000000000000000000000B4 -S3154002B45000000000000000000000000000000000A4 -S3154002B4600000000000000000000000000000000094 -S3154002B4700000000000000000000000000000000084 -S3154002B4800000000000000000000000000000000074 -S3154002B4900000000000000000000000000000000064 -S3154002B4A00000000000000000000000000000000054 -S3154002B4B00000000000000000000000000000000044 -S3154002B4C00000000000000000000000000000000034 -S3154002B4D00000000000000000000000000000000024 -S3154002B4E00000000000000000000000000000000014 -S3154002B4F00000000000000000000000000000000004 -S3154002B50000000000000000000000000000000000F3 -S3154002B51000000000000000000000000000000000E3 -S3154002B52000000000000000000000000000000000D3 -S3154002B53000000000000000000000000000000000C3 -S3154002B54000000000000000000000000000000000B3 -S3154002B55000000000000000000000000000000000A3 -S3154002B5600000000000000000000000000000000093 -S3154002B5700000000000000000000000000000000083 -S3154002B5800000000000000000000000000000000073 -S3154002B5900000000000000000000000000000000063 -S3154002B5A00000000000000000000000000000000053 -S3154002B5B00000000000000000000000000000000043 -S3154002B5C00000000000000000000000000000000033 -S3154002B5D00000000000000000000000000000000023 -S3154002B5E00000000000000000000000000000000013 -S3154002B5F00000000000000000000000000000000003 -S3154002B60000000000000000000000000000000000F2 -S3154002B61000000000000000000000000000000000E2 -S3154002B62000000000000000000000000000000000D2 -S3154002B63000000000000000000000000000000000C2 -S3154002B64000000000000000000000000000000000B2 -S3154002B65000000000000000000000000000000000A2 -S3154002B6600000000000000000000000000000000092 -S3154002B6700000000000000000000000000000000082 -S3154002B6800000000000000000000000000000000072 -S3154002B6900000000000000000000000000000000062 -S3154002B6A00000000000000000000000000000000052 -S3154002B6B00000000000000000000000000000000042 -S3154002B6C00000000000000000000000000000000032 -S3154002B6D00000000000000000000000000000000022 -S3154002B6E00000000000000000000000000000000012 -S3154002B6F00000000000000000000000000000000002 -S3154002B70000000000000000000000000000000000F1 -S3154002B71000000000000000000000000000000000E1 -S3154002B72000000000000000000000000000000000D1 -S3154002B73000000000000000000000000000000000C1 -S3154002B74000000000000000000000000000000000B1 -S3154002B75000000000000000000000000000000000A1 -S3154002B7600000000000000000000000000000000091 -S3154002B7700000000000000000000000000000000081 -S3154002B7800000000000000000000000000000000071 -S3154002B7900000000000000000000000000000000061 -S3154002B7A00000000000000000000000000000000051 -S3154002B7B00000000000000000000000000000000041 -S3154002B7C00000000000000000000000000000000031 -S3154002B7D00000000000000000000000000000000021 -S3154002B7E00000000000000000000000000000000011 -S3154002B7F00000000000000000000000000000000001 -S3154002B80000000000000000000000000000000000F0 -S3154002B81000000000000000000000000000000000E0 -S3154002B82000000000000000000000000000000000D0 -S3154002B83000000000000000000000000000000000C0 -S3154002B84000000000000000000000000000000000B0 -S3154002B85000000000000000000000000000000000A0 -S3154002B8600000000000000000000000000000000090 -S3154002B8700000000000000000000000000000000080 -S3154002B8800000000000000000000000000000000070 -S3154002B8900000000000000000000000000000000060 -S3154002B8A00000000000000000000000000000000050 -S3154002B8B00000000000000000000000000000000040 -S3154002B8C00000000000000000000000000000000030 -S3154002B8D00000000000000000000000000000000020 -S3154002B8E00000000000000000000000000000000010 -S3154002B8F00000000000000000000000000000000000 -S3154002B90000000000000000000000000000000000EF -S3154002B91000000000000000000000000000000000DF -S3154002B92000000000000000000000000000000000CF -S3154002B93000000000000000000000000000000000BF -S3154002B94000000000000000000000000000000000AF -S3154002B950000000000000000000000000000000009F -S3154002B960000000000000000000000000000000008F -S3154002B970000000000000000000000000000000007F -S3154002B980000000000000000000000000000000006F -S3154002B990000000000000000000000000000000005F -S3154002B9A0000000000000000000000000000000004F -S3154002B9B0000000000000000000000000000000003F -S3154002B9C0000000000000000000000000000000002F -S3154002B9D0000000000000000000000000000000001F -S3154002B9E0000000000000000000000000000000000F -S3154002B9F000000000000000000000000000000000FF -S3154002BA0000000000000000000000000000000000EE -S3154002BA1000000000000000000000000000000000DE -S3154002BA2000000000000000000000000000000000CE -S3154002BA3000000000000000000000000000000000BE -S3154002BA4000000000000000000000000000000000AE -S3154002BA50000000000000000000000000000000009E -S3154002BA60000000000000000000000000000000008E -S3154002BA70000000000000000000000000000000007E -S3154002BA80000000000000000000000000000000006E -S3154002BA90000000000000000000000000000000005E -S3154002BAA0000000000000000000000000000000004E -S3154002BAB0000000000000000000000000000000003E -S3154002BAC0000000000000000000000000000000002E -S3154002BAD0000000000000000000000000000000001E -S3154002BAE0000000000000000000000000000000000E -S3154002BAF000000000000000000000000000000000FE -S3154002BB0000000000000000000000000000000000ED -S3154002BB1000000000000000000000000000000000DD -S3154002BB2000000000000000000000000000000000CD -S3154002BB3000000000000000000000000000000000BD -S3154002BB4000000000000000000000000000000000AD -S3154002BB50000000000000000000000000000000009D -S3154002BB60000000000000000000000000000000008D -S3154002BB70000000000000000000000000000000007D -S3154002BB80000000000000000000000000000000006D -S3154002BB90000000000000000000000000000000005D -S3154002BBA0000000000000000000000000000000004D -S3154002BBB0000000000000000000000000000000003D -S3154002BBC0000000000000000000000000000000002D -S3154002BBD0000000000000000000000000000000001D -S3154002BBE0000000000000000000000000000000000D -S3154002BBF000000000000000000000000000000000FD -S3154002BC0000000000000000000000000000000000EC -S3154002BC1000000000000000000000000000000000DC -S3154002BC2000000000000000000000000000000000CC -S3154002BC3000000000000000000000000000000000BC -S3154002BC4000000000000000000000000000000000AC -S3154002BC50000000000000000000000000000000009C -S3154002BC60000000000000000000000000000000008C -S3154002BC70000000000000000000000000000000007C -S3154002BC80000000000000000000000000000000006C -S3154002BC90000000000000000000000000000000005C -S3154002BCA0000000000000000000000000000000004C -S3154002BCB0000000000000000000000000000000003C -S3154002BCC0000000000000000000000000000000002C -S3154002BCD0000000000000000000000000000000001C -S3154002BCE0000000000000000000000000000000000C -S3154002BCF000000000000000000000000000000000FC -S3154002BD0000000000000000000000000000000000EB -S3154002BD1000000000000000000000000000000000DB -S3154002BD2000000000000000000000000000000000CB -S3154002BD3000000000000000000000000000000000BB -S3154002BD4000000000000000000000000000000000AB -S3154002BD50000000000000000000000000000000009B -S3154002BD60000000000000000000000000000000008B -S3154002BD70000000000000000000000000000000007B -S3154002BD80000000000000000000000000000000006B -S3154002BD90000000000000000000000000000000005B -S3154002BDA0000000000000000000000000000000004B -S3154002BDB0000000000000000000000000000000003B -S3154002BDC0000000000000000000000000000000002B -S3154002BDD0000000000000000000000000000000001B -S3154002BDE0000000000000000000000000000000000B -S3154002BDF000000000000000000000000000000000FB -S3154002BE0000000000000000000000000000000000EA -S3154002BE1000000000000000000000000000000000DA -S3154002BE2000000000000000000000000000000000CA -S3154002BE3000000000000000000000000000000000BA -S3154002BE4000000000000000000000000000000000AA -S3154002BE50000000000000000000000000000000009A -S3154002BE60000000000000000000000000000000008A -S3154002BE70000000000000000000000000000000007A -S3154002BE80000000000000000000000000000000006A -S3154002BE90000000000000000000000000000000005A -S3154002BEA0000000000000000000000000000000004A -S3154002BEB0000000000000000000000000000000003A -S3154002BEC0000000000000000000000000000000002A -S3154002BED0000000000000000000000000000000001A -S3154002BEE0000000000000000000000000000000000A -S3154002BEF000000000000000000000000000000000FA -S3154002BF0000000000000000000000000000000000E9 -S3154002BF1000000000000000000000000000000000D9 -S3154002BF2000000000000000000000000000000000C9 -S3154002BF3000000000000000000000000000000000B9 -S3154002BF4000000000000000000000000000000000A9 -S3154002BF500000000000000000000000000000000099 -S3154002BF600000000000000000000000000000000089 -S3154002BF700000000000000000000000000000000079 -S3154002BF800000000000000000000000000000000069 -S3154002BF900000000000000000000000000000000059 -S3154002BFA00000000000000000000000000000000049 -S3154002BFB00000000000000000000000000000000039 -S3154002BFC00000000000000000000000000000000029 -S3154002BFD00000000000000000000000000000000019 -S3154002BFE00000000000000000000000000000000009 -S3154002BFF000000000000000000000000000000000F9 -S3154002C00000000000000000000000000000000000E8 -S3154002C01000000000000000000000000000000000D8 -S3154002C02000000000000000000000000000000000C8 -S3154002C03000000000000000000000000000000000B8 -S3154002C04000000000000000000000000000000000A8 -S3154002C0500000000000000000000000000000000098 -S3154002C0600000000000000000000000000000000088 -S3154002C0700000000000000000000000000000000078 -S3154002C0800000000000000000000000000000000068 -S3154002C0900000000000000000000000000000000058 -S3154002C0A00000000000000000000000000000000048 -S3154002C0B00000000000000000000000000000000038 -S3154002C0C00000000000000000000000000000000028 -S3154002C0D00000000000000000000000000000000018 -S3154002C0E00000000000000000000000000000000008 -S3154002C0F000000000000000000000000000000000F8 -S3154002C10000000000000000000000000000000000E7 -S3154002C11000000000000000000000000000000000D7 -S3154002C12000000000000000000000000000000000C7 -S3154002C13000000000000000000000000000000000B7 -S3154002C14000000000000000000000000000000000A7 -S3154002C1500000000000000000000000000000000097 -S3154002C1600000000000000000000000000000000087 -S3154002C1700000000000000000000000000000000077 -S3154002C1800000000000000000000000000000000067 -S3154002C1900000000000000000000000000000000057 -S3154002C1A00000000000000000000000000000000047 -S3154002C1B00000000000000000000000000000000037 -S3154002C1C00000000000000000000000000000000027 -S3154002C1D00000000000000000000000000000000017 -S3154002C1E00000000000000000000000000000000007 -S3154002C1F000000000000000000000000000000000F7 -S3154002C20000000000000000000000000000000000E6 -S3154002C21000000000000000000000000000000000D6 -S3154002C22000000000000000000000000000000000C6 -S3154002C23000000000000000000000000000000000B6 -S3154002C24000000000000000000000000000000000A6 -S3154002C2500000000000000000000000000000000096 -S3154002C2600000000000000000000000000000000086 -S3154002C2700000000000000000000000000000000076 -S3154002C2800000000000000000000000000000000066 -S3154002C2900000000000000000000000000000000056 -S3154002C2A00000000000000000000000000000000046 -S3154002C2B00000000000000000000000000000000036 -S3154002C2C00000000000000000000000000000000026 -S3154002C2D00000000000000000000000000000000016 -S3154002C2E00000000000000000000000000000000006 -S3154002C2F000000000000000000000000000000000F6 -S3154002C30000000000000000000000000000000000E5 -S3154002C31000000000000000000000000000000000D5 -S3154002C32000000000000000000000000000000000C5 -S3154002C33000000000000000000000000000000000B5 -S3154002C34000000000000000000000000000000000A5 -S3154002C3500000000000000000000000000000000095 -S3154002C3600000000000000000000000000000000085 -S3154002C3700000000000000000000000000000000075 -S3154002C3800000000000000000000000000000000065 -S3154002C3900000000000000000000000000000000055 -S3154002C3A00000000000000000000000000000000045 -S3154002C3B00000000000000000000000000000000035 -S3154002C3C00000000000000000000000000000000025 -S3154002C3D00000000000000000000000000000000015 -S3154002C3E00000000000000000000000000000000005 -S3154002C3F000000000000000000000000000000000F5 -S3154002C40000000000000000000000000000000000E4 -S3154002C41000000000000000000000000000000000D4 -S3154002C42000000000000000000000000000000000C4 -S3154002C43000000000000000000000000000000000B4 -S3154002C44000000000000000000000000000000000A4 -S3154002C4500000000000000000000000000000000094 -S3154002C4600000000000000000000000000000000084 -S3154002C4700000000000000000000000000000000074 -S3154002C4800000000000000000000000000000000064 -S3154002C4900000000000000000000000000000000054 -S3154002C4A00000000000000000000000000000000044 -S3154002C4B00000000000000000000000000000000034 -S3154002C4C00000000000000000000000000000000024 -S3154002C4D00000000000000000000000000000000014 -S3154002C4E00000000000000000000000000000000004 -S3154002C4F000000000000000000000000000000000F4 -S3154002C50000000000000000000000000000000000E3 -S3154002C51000000000000000000000000000000000D3 -S3154002C52000000000000000000000000000000000C3 -S3154002C53000000000000000000000000000000000B3 -S3154002C54000000000000000000000000000000000A3 -S3154002C5500000000000000000000000000000000093 -S3154002C5600000000000000000000000000000000083 -S3154002C5700000000000000000000000000000000073 -S3154002C5800000000000000000000000000000000063 -S3154002C5900000000000000000000000000000000053 -S3154002C5A00000000000000000000000000000000043 -S3154002C5B00000000000000000000000000000000033 -S3154002C5C00000000000000000000000000000000023 -S3154002C5D00000000000000000000000000000000013 -S3154002C5E00000000000000000000000000000000003 -S3154002C5F000000000000000000000000000000000F3 -S3154002C60000000000000000000000000000000000E2 -S3154002C61000000000000000000000000000000000D2 -S3154002C62000000000000000000000000000000000C2 -S3154002C63000000000000000000000000000000000B2 -S3154002C64000000000000000000000000000000000A2 -S3154002C6500000000000000000000000000000000092 -S3154002C6600000000000000000000000000000000082 -S3154002C6700000000000000000000000000000000072 -S3154002C6800000000000000000000000000000000062 -S3154002C6900000000000000000000000000000000052 -S3154002C6A00000000000000000000000000000000042 -S3154002C6B00000000000000000000000000000000032 -S3154002C6C00000000000000000000000000000000022 -S3154002C6D00000000000000000000000000000000012 -S3154002C6E00000000000000000000000000000000002 -S3154002C6F000000000000000000000000000000000F2 -S3154002C70000000000000000000000000000000000E1 -S3154002C71000000000000000000000000000000000D1 -S3154002C72000000000000000000000000000000000C1 -S3154002C73000000000000000000000000000000000B1 -S3154002C74000000000000000000000000000000000A1 -S3154002C7500000000000000000000000000000000091 -S3154002C7600000000000000000000000000000000081 -S3154002C7700000000000000000000000000000000071 -S3154002C7800000000000000000000000000000000061 -S3154002C7900000000000000000000000000000000051 -S3154002C7A00000000000000000000000000000000041 -S3154002C7B00000000000000000000000000000000031 -S3154002C7C00000000000000000000000000000000021 -S3154002C7D00000000000000000000000000000000011 -S3154002C7E00000000000000000000000000000000001 -S3154002C7F000000000000000000000000000000000F1 -S3154002C80000000000000000000000000000000000E0 -S3154002C81000000000000000000000000000000000D0 -S3154002C82000000000000000000000000000000000C0 -S3154002C83000000000000000000000000000000000B0 -S3154002C84000000000000000000000000000000000A0 -S3154002C8500000000000000000000000000000000090 -S3154002C8600000000000000000000000000000000080 -S3154002C8700000000000000000000000000000000070 -S3154002C8800000000000000000000000000000000060 -S3154002C8900000000000000000000000000000000050 -S3154002C8A00000000000000000000000000000000040 -S3154002C8B00000000000000000000000000000000030 -S3154002C8C00000000000000000000000000000000020 -S3154002C8D00000000000000000000000000000000010 -S3154002C8E00000000000000000000000000000000000 -S3154002C8F000000000000000000000000000000000F0 -S3154002C90000000000000000000000000000000000DF -S3154002C91000000000000000000000000000000000CF -S3154002C92000000000000000000000000000000000BF -S3154002C93000000000000000000000000000000000AF -S3154002C940000000000000000000000000000000009F -S3154002C950000000000000000000000000000000008F -S3154002C960000000000000000000000000000000007F -S3154002C970000000000000000000000000000000006F -S3154002C980000000000000000000000000000000005F -S3154002C990000000000000000000000000000000004F -S3154002C9A0000000000000000000000000000000003F -S3154002C9B0000000000000000000000000000000002F -S3154002C9C0000000000000000000000000000000001F -S3154002C9D0000000000000000000000000000000000F -S3154002C9E000000000000000000000000000000000FF -S3154002C9F000000000000000000000000000000000EF -S3154002CA0000000000000000000000000000000000DE -S3154002CA1000000000000000000000000000000000CE -S3154002CA2000000000000000000000000000000000BE -S3154002CA3000000000000000000000000000000000AE -S3154002CA40000000000000000000000000000000009E -S3154002CA50000000000000000000000000000000008E -S3154002CA60000000000000000000000000000000007E -S3154002CA70000000000000000000000000000000006E -S3154002CA80000000000000000000000000000000005E -S3154002CA90000000000000000000000000000000004E -S3154002CAA0000000000000000000000000000000003E -S3154002CAB0000000000000000000000000000000002E -S3154002CAC0000000000000000000000000000000001E -S3154002CAD0000000000000000000000000000000000E -S3154002CAE000000000000000000000000000000000FE -S3154002CAF000000000000000000000000000000000EE -S3154002CB0000000000000000000000000000000000DD -S3154002CB1000000000000000000000000000000000CD -S3154002CB2000000000000000000000000000000000BD -S3154002CB3000000000000000000000000000000000AD -S3154002CB40000000000000000000000000000000009D -S3154002CB50000000000000000000000000000000008D -S3154002CB60000000000000000000000000000000007D -S3154002CB70000000000000000000000000000000006D -S3154002CB80000000000000000000000000000000005D -S3154002CB90000000000000000000000000000000004D -S3154002CBA0000000000000000000000000000000003D -S3154002CBB0000000000000000000000000000000002D -S3154002CBC0000000000000000000000000000000001D -S3154002CBD0000000000000000000000000000000000D -S3154002CBE000000000000000000000000000000000FD -S3154002CBF000000000000000000000000000000000ED -S3154002CC0000000000000000000000000000000000DC -S3154002CC1000000000000000000000000000000000CC -S3154002CC2000000000000000000000000000000000BC -S3154002CC3000000000000000000000000000000000AC -S3154002CC40000000000000000000000000000000009C -S3154002CC50000000000000000000000000000000008C -S3154002CC60000000000000000000000000000000007C -S3154002CC70000000000000000000000000000000006C -S3154002CC80000000000000000000000000000000005C -S3154002CC90000000000000000000000000000000004C -S3154002CCA0000000000000000000000000000000003C -S3154002CCB0000000000000000000000000000000002C -S3154002CCC0000000000000000000000000000000001C -S3154002CCD0000000000000000000000000000000000C -S3154002CCE000000000000000000000000000000000FC -S3154002CCF000000000000000000000000000000000EC -S3154002CD0000000000000000000000000000000000DB -S3154002CD1000000000000000000000000000000000CB -S3154002CD2000000000000000000000000000000000BB -S3154002CD3000000000000000000000000000000000AB -S3154002CD40000000000000000000000000000000009B -S3154002CD50000000000000000000000000000000008B -S3154002CD60000000000000000000000000000000007B -S3154002CD70000000000000000000000000000000006B -S3154002CD80000000000000000000000000000000005B -S3154002CD90000000000000000000000000000000004B -S3154002CDA0000000000000000000000000000000003B -S3154002CDB0000000000000000000000000000000002B -S3154002CDC0000000000000000000000000000000001B -S3154002CDD0000000000000000000000000000000000B -S3154002CDE000000000000000000000000000000000FB -S3154002CDF000000000000000000000000000000000EB -S3154002CE0000000000000000000000000000000000DA -S3154002CE1000000000000000000000000000000000CA -S3154002CE2000000000000000000000000000000000BA -S3154002CE3000000000000000000000000000000000AA -S3154002CE40000000000000000000000000000000009A -S3154002CE50000000000000000000000000000000008A -S3154002CE60000000000000000000000000000000007A -S3154002CE70000000000000000000000000000000006A -S3154002CE80000000000000000000000000000000005A -S3154002CE90000000000000000000000000000000004A -S3154002CEA0000000000000000000000000000000003A -S3154002CEB0000000000000000000000000000000002A -S3154002CEC0000000000000000000000000000000001A -S3154002CED0000000000000000000000000000000000A -S3154002CEE000000000000000000000000000000000FA -S3154002CEF000000000000000000000000000000000EA -S3154002CF0000000000000000000000000000000000D9 -S3154002CF1000000000000000000000000000000000C9 -S3154002CF2000000000000000000000000000000000B9 -S3154002CF3000000000000000000000000000000000A9 -S3154002CF400000000000000000000000000000000099 -S3154002CF500000000000000000000000000000000089 -S3154002CF600000000000000000000000000000000079 -S3154002CF700000000000000000000000000000000069 -S3154002CF800000000000000000000000000000000059 -S3154002CF900000000000000000000000000000000049 -S3154002CFA00000000000000000000000000000000039 -S3154002CFB00000000000000000000000000000000029 -S3154002CFC00000000000000000000000000000000019 -S3154002CFD00000000000000000000000000000000009 -S3154002CFE000000000000000000000000000000000F9 -S3154002CFF000000000000000000000000000000000E9 -S3154002D00000000000000000000000000000000000D8 -S3154002D01000000000000000000000000000000000C8 -S3154002D02000000000000000000000000000000000B8 -S3154002D03000000000000000000000000000000000A8 -S3154002D0400000000000000000000000000000000098 -S3154002D0500000000000000000000000000000000088 -S3154002D0600000000000000000000000000000000078 -S3154002D0700000000000000000000000000000000068 -S3154002D0800000000000000000000000000000000058 -S3154002D0900000000000000000000000000000000048 -S3154002D0A00000000000000000000000000000000038 -S3154002D0B00000000000000000000000000000000028 -S3154002D0C00000000000000000000000000000000018 -S3154002D0D00000000000000000000000000000000008 -S3154002D0E000000000000000000000000000000000F8 -S3154002D0F000000000000000000000000000000000E8 -S3154002D10000000000000000000000000000000000D7 -S3154002D11000000000000000000000000000000000C7 -S3154002D12000000000000000000000000000000000B7 -S3154002D13000000000000000000000000000000000A7 -S3154002D1400000000000000000000000000000000097 -S3154002D1500000000000000000000000000000000087 -S3154002D1600000000000000000000000000000000077 -S3154002D1700000000000000000000000000000000067 -S3154002D1800000000000000000000000000000000057 -S3154002D1900000000000000000000000000000000047 -S3154002D1A00000000000000000000000000000000037 -S3154002D1B00000000000000000000000000000000027 -S3154002D1C00000000000000000000000000000000017 -S3154002D1D00000000000000000000000000000000007 -S3154002D1E000000000000000000000000000000000F7 -S3154002D1F000000000000000000000000000000000E7 -S3154002D20000000000000000000000000000000000D6 -S3154002D21000000000000000000000000000000000C6 -S3154002D22000000000000000000000000000000000B6 -S3154002D23000000000000000000000000000000000A6 -S3154002D2400000000000000000000000000000000096 -S3154002D2500000000000000000000000000000000086 -S3154002D2600000000000000000000000000000000076 -S3154002D2700000000000000000000000000000000066 -S3154002D2800000000000000000000000000000000056 -S3154002D2900000000000000000000000000000000046 -S3154002D2A00000000000000000000000000000000036 -S3154002D2B00000000000000000000000000000000026 -S3154002D2C00000000000000000000000000000000016 -S3154002D2D00000000000000000000000000000000006 -S3154002D2E000000000000000000000000000000000F6 -S3154002D2F000000000000000000000000000000000E6 -S3154002D30000000000000000000000000000000000D5 -S3154002D31000000000000000000000000000000000C5 -S3154002D32000000000000000000000000000000000B5 -S3154002D33000000000000000000000000000000000A5 -S3154002D3400000000000000000000000000000000095 -S3154002D3500000000000000000000000000000000085 -S3154002D3600000000000000000000000000000000075 -S3154002D3700000000000000000000000000000000065 -S3154002D3800000000000000000000000000000000055 -S3154002D3900000000000000000000000000000000045 -S3154002D3A00000000000000000000000000000000035 -S3154002D3B00000000000000000000000000000000025 -S3154002D3C00000000000000000000000000000000015 -S3154002D3D00000000000000000000000000000000005 -S3154002D3E000000000000000000000000000000000F5 -S3154002D3F000000000000000000000000000000000E5 -S3154002D40000000000000000000000000000000000D4 -S3154002D41000000000000000000000000000000000C4 -S3154002D42000000000000000000000000000000000B4 -S3154002D43000000000000000000000000000000000A4 -S3154002D4400000000000000000000000000000000094 -S3154002D4500000000000000000000000000000000084 -S3154002D4600000000000000000000000000000000074 -S3154002D4700000000000000000000000000000000064 -S3154002D4800000000000000000000000000000000054 -S3154002D4900000000000000000000000000000000044 -S3154002D4A00000000000000000000000000000000034 -S3154002D4B00000000000000000000000000000000024 -S3154002D4C00000000000000000000000000000000014 -S3154002D4D00000000000000000000000000000000004 -S3154002D4E000000000000000000000000000000000F4 -S3154002D4F000000000000000000000000000000000E4 -S3154002D50000000000000000000000000000000000D3 -S3154002D51000000000000000000000000000000000C3 -S3154002D52000000000000000000000000000000000B3 -S3154002D53000000000000000000000000000000000A3 -S3154002D5400000000000000000000000000000000093 -S3154002D5500000000000000000000000000000000083 -S3154002D5600000000000000000000000000000000073 -S3154002D5700000000000000000000000000000000063 -S3154002D5800000000000000000000000000000000053 -S3154002D5900000000000000000000000000000000043 -S3154002D5A00000000000000000000000000000000033 -S3154002D5B00000000000000000000000000000000023 -S3154002D5C00000000000000000000000000000000013 -S3154002D5D00000000000000000000000000000000003 -S3154002D5E000000000000000000000000000000000F3 -S3154002D5F000000000000000000000000000000000E3 -S3154002D60000000000000000000000000000000000D2 -S3154002D61000000000000000000000000000000000C2 -S3154002D62000000000000000000000000000000000B2 -S3154002D63000000000000000000000000000000000A2 -S3154002D6400000000000000000000000000000000092 -S3154002D6500000000000000000000000000000000082 -S3154002D6600000000000000000000000000000000072 -S3154002D6700000000000000000000000000000000062 -S3154002D6800000000000000000000000000000000052 -S3154002D6900000000000000000000000000000000042 -S3154002D6A00000000000000000000000000000000032 -S3154002D6B00000000000000000000000000000000022 -S3154002D6C00000000000000000000000000000000012 -S3154002D6D00000000000000000000000000000000002 -S3154002D6E000000000000000000000000000000000F2 -S3154002D6F000000000000000000000000000000000E2 -S3154002D70000000000000000000000000000000000D1 -S3154002D71000000000000000000000000000000000C1 -S3154002D72000000000000000000000000000000000B1 -S3154002D73000000000000000000000000000000000A1 -S3154002D7400000000000000000000000000000000091 -S3154002D7500000000000000000000000000000000081 -S3154002D7600000000000000000000000000000000071 -S3154002D7700000000000000000000000000000000061 -S3154002D7800000000000000000000000000000000051 -S3154002D7900000000000000000000000000000000041 -S3154002D7A00000000000000000000000000000000031 -S3154002D7B00000000000000000000000000000000021 -S3154002D7C00000000000000000000000000000000011 -S3154002D7D00000000000000000000000000000000001 -S3154002D7E000000000000000000000000000000000F1 -S3154002D7F000000000000000000000000000000000E1 -S3154002D80000000000000000000000000000000000D0 -S3154002D81000000000000000000000000000000000C0 -S3154002D82000000000000000000000000000000000B0 -S3154002D83000000000000000000000000000000000A0 -S3154002D8400000000000000000000000000000000090 -S3154002D8500000000000000000000000000000000080 -S3154002D8600000000000000000000000000000000070 -S3154002D8700000000000000000000000000000000060 -S3154002D8800000000000000000000000000000000050 -S3154002D8900000000000000000000000000000000040 -S3154002D8A00000000000000000000000000000000030 -S3154002D8B00000000000000000000000000000000020 -S3154002D8C00000000000000000000000000000000010 -S3154002D8D00000000000000000000000000000000000 -S3154002D8E000000000000000000000000000000000F0 -S3154002D8F000000000000000000000000000000000E0 -S3154002D90000000000000000000000000000000000CF -S3154002D91000000000000000000000000000000000BF -S3154002D92000000000000000000000000000000000AF -S3154002D930000000000000000000000000000000009F -S3154002D940000000000000000000000000000000008F -S3154002D950000000000000000000000000000000007F -S3154002D960000000000000000000000000000000006F -S3154002D970000000000000000000000000000000005F -S3154002D980000000000000000000000000000000004F -S3154002D990000000000000000000000000000000003F -S3154002D9A0000000000000000000000000000000002F -S3154002D9B0000000000000000000000000000000001F -S3154002D9C0000000000000000000000000000000000F -S3154002D9D000000000000000000000000000000000FF -S3154002D9E000000000000000000000000000000000EF -S3154002D9F000000000000000000000000000000000DF -S3154002DA0000000000000000000000000000000000CE -S3154002DA1000000000000000000000000000000000BE -S3154002DA2000000000000000000000000000000000AE -S3154002DA30000000000000000000000000000000009E -S3154002DA40000000000000000000000000000000008E -S3154002DA50000000000000000000000000000000007E -S3154002DA60000000000000000000000000000000006E -S3154002DA70000000000000000000000000000000005E -S3154002DA80000000000000000000000000000000004E -S3154002DA90000000000000000000000000000000003E -S3154002DAA0000000000000000000000000000000002E -S3154002DAB0000000000000000000000000000000001E -S3154002DAC0000000000000000000000000000000000E -S3154002DAD000000000000000000000000000000000FE -S3154002DAE000000000000000000000000000000000EE -S3154002DAF000000000000000000000000000000000DE -S3154002DB0000000000000000000000000000000000CD -S3154002DB1000000000000000000000000000000000BD -S3154002DB2000000000000000000000000000000000AD -S3154002DB30000000000000000000000000000000009D -S3154002DB40000000000000000000000000000000008D -S3154002DB50000000000000000000000000000000007D -S3154002DB60000000000000000000000000000000006D -S3154002DB70000000000000000000000000000000005D -S3154002DB80000000000000000000000000000000004D -S3154002DB90000000000000000000000000000000003D -S3154002DBA0000000000000000000000000000000002D -S3154002DBB0000000000000000000000000000000001D -S3154002DBC0000000000000000000000000000000000D -S3154002DBD000000000000000000000000000000000FD -S3154002DBE000000000000000000000000000000000ED -S3154002DBF000000000000000000000000000000000DD -S3154002DC0000000000000000000000000000000000CC -S3154002DC1000000000000000000000000000000000BC -S3154002DC2000000000000000000000000000000000AC -S3154002DC30000000000000000000000000000000009C -S3154002DC40000000000000000000000000000000008C -S3154002DC50000000000000000000000000000000007C -S3154002DC60000000000000000000000000000000006C -S3154002DC70000000000000000000000000000000005C -S3154002DC80000000000000000000000000000000004C -S3154002DC90000000000000000000000000000000003C -S3154002DCA0000000000000000000000000000000002C -S3154002DCB0000000000000000000000000000000001C -S3154002DCC0000000000000000000000000000000000C -S3154002DCD000000000000000000000000000000000FC -S3154002DCE000000000000000000000000000000000EC -S3154002DCF000000000000000000000000000000000DC -S3154002DD0000000000000000000000000000000000CB -S3154002DD1000000000000000000000000000000000BB -S3154002DD2000000000000000000000000000000000AB -S3154002DD30000000000000000000000000000000009B -S3154002DD40000000000000000000000000000000008B -S3154002DD50000000000000000000000000000000007B -S3154002DD60000000000000000000000000000000006B -S3154002DD70000000000000000000000000000000005B -S3154002DD80000000000000000000000000000000004B -S3154002DD90000000000000000000000000000000003B -S3154002DDA0000000000000000000000000000000002B -S3154002DDB0000000000000000000000000000000001B -S3154002DDC0000000000000000000000000000000000B -S3154002DDD000000000000000000000000000000000FB -S3154002DDE000000000000000000000000000000000EB -S3154002DDF000000000000000000000000000000000DB -S3154002DE0000000000000000000000000000000000CA -S3154002DE1000000000000000000000000000000000BA -S3154002DE2000000000000000000000000000000000AA -S3154002DE30000000000000000000000000000000009A -S3154002DE40000000000000000000000000000000008A -S3154002DE50000000000000000000000000000000007A -S3154002DE60000000000000000000000000000000006A -S3154002DE70000000000000000000000000000000005A -S3154002DE80000000000000000000000000000000004A -S3154002DE90000000000000000000000000000000003A -S3154002DEA0000000000000000000000000000000002A -S3154002DEB0000000000000000000000000000000001A -S3154002DEC0000000000000000000000000000000000A -S3154002DED000000000000000000000000000000000FA -S3154002DEE000000000000000000000000000000000EA -S3154002DEF000000000000000000000000000000000DA -S3154002DF0000000000000000000000000000000000C9 -S3154002DF1000000000000000000000000000000000B9 -S3154002DF2000000000000000000000000000000000A9 -S3154002DF300000000000000000000000000000000099 -S3154002DF400000000000000000000000000000000089 -S3154002DF500000000000000000000000000000000079 -S3154002DF600000000000000000000000000000000069 -S3154002DF700000000000000000000000000000000059 -S3154002DF800000000000000000000000000000000049 -S3154002DF900000000000000000000000000000000039 -S3154002DFA00000000000000000000000000000000029 -S3154002DFB00000000000000000000000000000000019 -S3154002DFC00000000000000000000000000000000009 -S3154002DFD000000000000000000000000000000000F9 -S3154002DFE000000000000000000000000000000000E9 -S3154002DFF000000000000000000000000000000000D9 -S3154002E00000000000000000000000000000000000C8 -S3154002E01000000000000000000000000000000000B8 -S3154002E02000000000000000000000000000000000A8 -S3154002E0300000000000000000000000000000000098 -S3154002E0400000000000000000000000000000000088 -S3154002E0500000000000000000000000000000000078 -S3154002E0600000000000000000000000000000000068 -S3154002E0700000000000000000000000000000000058 -S3154002E0800000000000000000000000000000000048 -S3154002E0900000000000000000000000000000000038 -S3154002E0A00000000000000000000000000000000028 -S3154002E0B00000000000000000000000000000000018 -S3154002E0C00000000000000000000000000000000008 -S3154002E0D000000000000000000000000000000000F8 -S3154002E0E000000000000000000000000000000000E8 -S3154002E0F000000000000000000000000000000000D8 -S3154002E10000000000000000000000000000000000C7 -S3154002E11000000000000000000000000000000000B7 -S3154002E12000000000000000000000000000000000A7 -S3154002E1300000000000000000000000000000000097 -S3154002E1400000000000000000000000000000000087 -S3154002E1500000000000000000000000000000000077 -S3154002E1600000000000000000000000000000000067 -S3154002E1700000000000000000000000000000000057 -S3154002E1800000000000000000000000000000000047 -S3154002E1900000000000000000000000000000000037 -S3154002E1A00000000000000000000000000000000027 -S3154002E1B00000000000000000000000000000000017 -S3154002E1C00000000000000000000000000000000007 -S3154002E1D000000000000000000000000000000000F7 -S3154002E1E000000000000000000000000000000000E7 -S3154002E1F000000000000000000000000000000000D7 -S3154002E20000000000000000000000000000000000C6 -S3154002E21000000000000000000000000000000000B6 -S3154002E22000000000000000000000000000000000A6 -S3154002E2300000000000000000000000000000000096 -S3154002E2400000000000000000000000000000000086 -S3154002E2500000000000000000000000000000000076 -S3154002E2600000000000000000000000000000000066 -S3154002E2700000000000000000000000000000000056 -S3154002E2800000000000000000000000000000000046 -S3154002E2900000000000000000000000000000000036 -S3154002E2A00000000000000000000000000000000026 -S3154002E2B00000000000000000000000000000000016 -S3154002E2C00000000000000000000000000000000006 -S3154002E2D000000000000000000000000000000000F6 -S3154002E2E000000000000000000000000000000000E6 -S3154002E2F000000000000000000000000000000000D6 -S3154002E30000000000000000000000000000000000C5 -S3154002E31000000000000000000000000000000000B5 -S3154002E32000000000000000000000000000000000A5 -S3154002E3300000000000000000000000000000000095 -S3154002E3400000000000000000000000000000000085 -S3154002E3500000000000000000000000000000000075 -S3154002E3600000000000000000000000000000000065 -S3154002E3700000000000000000000000000000000055 -S3154002E3800000000000000000000000000000000045 -S3154002E3900000000000000000000000000000000035 -S3154002E3A00000000000000000000000000000000025 -S3154002E3B00000000000000000000000000000000015 -S3154002E3C00000000000000000000000000000000005 -S3154002E3D000000000000000000000000000000000F5 -S3154002E3E000000000000000000000000000000000E5 -S3154002E3F000000000000000000000000000000000D5 -S3154002E40000000000000000000000000000000000C4 -S3154002E41000000000000000000000000000000000B4 -S3154002E42000000000000000000000000000000000A4 -S3154002E4300000000000000000000000000000000094 -S3154002E4400000000000000000000000000000000084 -S3154002E4500000000000000000000000000000000074 -S3154002E4600000000000000000000000000000000064 -S3154002E4700000000000000000000000000000000054 -S3154002E4800000000000000000000000000000000044 -S3154002E4900000000000000000000000000000000034 -S3154002E4A00000000000000000000000000000000024 -S3154002E4B00000000000000000000000000000000014 -S3154002E4C00000000000000000000000000000000004 -S3154002E4D000000000000000000000000000000000F4 -S3154002E4E000000000000000000000000000000000E4 -S3154002E4F000000000000000000000000000000000D4 -S3154002E50000000000000000000000000000000000C3 -S3154002E51000000000000000000000000000000000B3 -S3154002E52000000000000000000000000000000000A3 -S3154002E5300000000000000000000000000000000093 -S3154002E5400000000000000000000000000000000083 -S3154002E5500000000000000000000000000000000073 -S3154002E5600000000000000000000000000000000063 -S3154002E5700000000000000000000000000000000053 -S3154002E5800000000000000000000000000000000043 -S3154002E5900000000000000000000000000000000033 -S3154002E5A00000000000000000000000000000000023 -S3154002E5B00000000000000000000000000000000013 -S3154002E5C00000000000000000000000000000000003 -S3154002E5D000000000000000000000000000000000F3 -S3154002E5E000000000000000000000000000000000E3 -S3154002E5F000000000000000000000000000000000D3 -S3154002E60000000000000000000000000000000000C2 -S3154002E61000000000000000000000000000000000B2 -S3154002E62000000000000000000000000000000000A2 -S3154002E6300000000000000000000000000000000092 -S3154002E6400000000000000000000000000000000082 -S3154002E6500000000000000000000000000000000072 -S3154002E6600000000000000000000000000000000062 -S3154002E6700000000000000000000000000000000052 -S3154002E6800000000000000000000000000000000042 -S3154002E6900000000000000000000000000000000032 -S3154002E6A00000000000000000000000000000000022 -S3154002E6B00000000000000000000000000000000012 -S3154002E6C00000000000000000000000000000000002 -S3154002E6D000000000000000000000000000000000F2 -S3154002E6E000000000000000000000000000000000E2 -S3154002E6F000000000000000000000000000000000D2 -S3154002E70000000000000000000000000000000000C1 -S3154002E71000000000000000000000000000000000B1 -S3154002E72000000000000000000000000000000000A1 -S3154002E7300000000000000000000000000000000091 -S3154002E7400000000000000000000000000000000081 -S3154002E7500000000000000000000000000000000071 -S3154002E7600000000000000000000000000000000061 -S3154002E7700000000000000000000000000000000051 -S3154002E7800000000000000000000000000000000041 -S3154002E7900000000000000000000000000000000031 -S3154002E7A00000000000000000000000000000000021 -S3154002E7B00000000000000000000000000000000011 -S3154002E7C00000000000000000000000000000000001 -S3154002E7D000000000000000000000000000000000F1 -S3154002E7E000000000000000000000000000000000E1 -S3154002E7F000000000000000000000000000000000D1 -S3154002E80000000000000000000000000000000000C0 -S3154002E81000000000000000000000000000000000B0 -S3154002E82000000000000000000000000000000000A0 -S3154002E8300000000000000000000000000000000090 -S3154002E8400000000000000000000000000000000080 -S3154002E8500000000000000000000000000000000070 -S3154002E8600000000000000000000000000000000060 -S3154002E8700000000000000000000000000000000050 -S3154002E8800000000000000000000000000000000040 -S3154002E8900000000000000000000000000000000030 -S3154002E8A00000000000000000000000000000000020 -S3154002E8B00000000000000000000000000000000010 -S3154002E8C00000000000000000000000000000000000 -S3154002E8D000000000000000000000000000000000F0 -S3154002E8E000000000000000000000000000000000E0 -S3154002E8F000000000000000000000000000000000D0 -S3154002E90000000000000000000000000000000000BF -S3154002E91000000000000000000000000000000000AF -S3154002E920000000000000000000000000000000009F -S3154002E930000000000000000000000000000000008F -S3154002E940000000000000000000000000000000007F -S3154002E950000000000000000000000000000000006F -S3154002E960000000000000000000000000000000005F -S3154002E970000000000000000000000000000000004F -S3154002E980000000000000000000000000000000003F -S3154002E990000000000000000000000000000000002F -S3154002E9A0000000000000000000000000000000001F -S3154002E9B0000000000000000000000000000000000F -S3154002E9C000000000000000000000000000000000FF -S3154002E9D000000000000000000000000000000000EF -S3154002E9E000000000000000000000000000000000DF -S3154002E9F000000000000000000000000000000000CF -S3154002EA0000000000000000000000000000000000BE -S3154002EA1000000000000000000000000000000000AE -S3154002EA20000000000000000000000000000000009E -S3154002EA30000000000000000000000000000000008E -S3154002EA40000000000000000000000000000000007E -S3154002EA50000000000000000000000000000000006E -S3154002EA60000000000000000000000000000000005E -S3154002EA70000000000000000000000000000000004E -S3154002EA80000000000000000000000000000000003E -S3154002EA90000000000000000000000000000000002E -S3154002EAA0000000000000000000000000000000001E -S3154002EAB0000000000000000000000000000000000E -S3154002EAC000000000000000000000000000000000FE -S3154002EAD000000000000000000000000000000000EE -S3154002EAE000000000000000000000000000000000DE -S3154002EAF000000000000000000000000000000000CE -S3154002EB0000000000000000000000000000000000BD -S3154002EB1000000000000000000000000000000000AD -S3154002EB20000000000000000000000000000000009D -S3154002EB30000000000000000000000000000000008D -S3154002EB40000000000000000000000000000000007D -S3154002EB50000000000000000000000000000000006D -S3154002EB60000000000000000000000000000000005D -S3154002EB70000000000000000000000000000000004D -S3154002EB80000000000000000000000000000000003D -S3154002EB90000000000000000000000000000000002D -S3154002EBA0000000000000000000000000000000001D -S3154002EBB0000000000000000000000000000000000D -S3154002EBC000000000000000000000000000000000FD -S3154002EBD000000000000000000000000000000000ED -S3154002EBE000000000000000000000000000000000DD -S3154002EBF000000000000000000000000000000000CD -S3154002EC0000000000000000000000000000000000BC -S3154002EC1000000000000000000000000000000000AC -S3154002EC20000000000000000000000000000000009C -S3154002EC30000000000000000000000000000000008C -S3154002EC40000000000000000000000000000000007C -S3154002EC50000000000000000000000000000000006C -S3154002EC60000000000000000000000000000000005C -S3154002EC70000000000000000000000000000000004C -S3154002EC80000000000000000000000000000000003C -S3154002EC90000000000000000000000000000000002C -S3154002ECA0000000000000000000000000000000001C -S3154002ECB0000000000000000000000000000000000C -S3154002ECC000000000000000000000000000000000FC -S3154002ECD000000000000000000000000000000000EC -S3154002ECE000000000000000000000000000000000DC -S3154002ECF000000000000000000000000000000000CC -S3154002ED0000000000000000000000000000000000BB -S3154002ED1000000000000000000000000000000000AB -S3154002ED20000000000000000000000000000000009B -S3154002ED30000000000000000000000000000000008B -S3154002ED40000000000000000000000000000000007B -S3154002ED50000000000000000000000000000000006B -S3154002ED60000000000000000000000000000000005B -S3154002ED70000000000000000000000000000000004B -S3154002ED80000000000000000000000000000000003B -S3154002ED90000000000000000000000000000000002B -S3154002EDA0000000000000000000000000000000001B -S3154002EDB0000000000000000000000000000000000B -S3154002EDC000000000000000000000000000000000FB -S3154002EDD000000000000000000000000000000000EB -S3154002EDE000000000000000000000000000000000DB -S3154002EDF000000000000000000000000000000000CB -S3154002EE0000000000000000000000000000000000BA -S3154002EE1000000000000000000000000000000000AA -S3154002EE20000000000000000000000000000000009A -S3154002EE30000000000000000000000000000000008A -S3154002EE40000000000000000000000000000000007A -S3154002EE50000000000000000000000000000000006A -S3154002EE60000000000000000000000000000000005A -S3154002EE70000000000000000000000000000000004A -S3154002EE80000000000000000000000000000000003A -S3154002EE90000000000000000000000000000000002A -S3154002EEA0000000000000000000000000000000001A -S3154002EEB0000000000000000000000000000000000A -S3154002EEC000000000000000000000000000000000FA -S3154002EED000000000000000000000000000000000EA -S3154002EEE000000000000000000000000000000000DA -S3154002EEF000000000000000000000000000000000CA -S3154002EF0000000000000000000000000000000000B9 -S3154002EF1000000000000000000000000000000000A9 -S3154002EF200000000000000000000000000000000099 -S3154002EF300000000000000000000000000000000089 -S3154002EF400000000000000000000000000000000079 -S3154002EF500000000000000000000000000000000069 -S3154002EF600000000000000000000000000000000059 -S3154002EF700000000000000000000000000000000049 -S3154002EF800000000000000000000000000000000039 -S3154002EF900000000000000000000000000000000029 -S3154002EFA00000000000000000000000000000000019 -S3154002EFB00000000000000000000000000000000009 -S3154002EFC000000000000000000000000000000000F9 -S3154002EFD000000000000000000000000000000000E9 -S3154002EFE000000000000000000000000000000000D9 -S3154002EFF000000000000000000000000000000000C9 -S3154002F00000000000000000000000000000000000B8 -S3154002F01000000000000000000000000000000000A8 -S3154002F0200000000000000000000000000000000098 -S3154002F0300000000000000000000000000000000088 -S3154002F0400000000000000000000000000000000078 -S3154002F0500000000000000000000000000000000068 -S3154002F0600000000000000000000000000000000058 -S3154002F0700000000000000000000000000000000048 -S3154002F0800000000000000000000000000000000038 -S3154002F0900000000000000000000000000000000028 -S3154002F0A00000000000000000000000000000000018 -S3154002F0B00000000000000000000000000000000008 -S3154002F0C000000000000000000000000000000000F8 -S3154002F0D000000000000000000000000000000000E8 -S3154002F0E000000000000000000000000000000000D8 -S3154002F0F000000000000000000000000000000000C8 -S3154002F10000000000000000000000000000000000B7 -S3154002F11000000000000000000000000000000000A7 -S3154002F1200000000000000000000000000000000097 -S3154002F1300000000000000000000000000000000087 -S3154002F1400000000000000000000000000000000077 -S3154002F1500000000000000000000000000000000067 -S3154002F1600000000000000000000000000000000057 -S3154002F1700000000000000000000000000000000047 -S3154002F1800000000000000000000000000000000037 -S3154002F1900000000000000000000000000000000027 -S3154002F1A00000000000000000000000000000000017 -S3154002F1B00000000000000000000000000000000007 -S3154002F1C000000000000000000000000000000000F7 -S3154002F1D000000000000000000000000000000000E7 -S3154002F1E000000000000000000000000000000000D7 -S3154002F1F000000000000000000000000000000000C7 -S3154002F20000000000000000000000000000000000B6 -S3154002F21000000000000000000000000000000000A6 -S3154002F2200000000000000000000000000000000096 -S3154002F2300000000000000000000000000000000086 -S3154002F2400000000000000000000000000000000076 -S3154002F2500000000000000000000000000000000066 -S3154002F2600000000000000000000000000000000056 -S3154002F2700000000000000000000000000000000046 -S3154002F2800000000000000000000000000000000036 -S3154002F2900000000000000000000000000000000026 -S3154002F2A00000000000000000000000000000000016 -S3154002F2B00000000000000000000000000000000006 -S3154002F2C000000000000000000000000000000000F6 -S3154002F2D000000000000000000000000000000000E6 -S3154002F2E000000000000000000000000000000000D6 -S3154002F2F000000000000000000000000000000000C6 -S3154002F30000000000000000000000000000000000B5 -S3154002F31000000000000000000000000000000000A5 -S3154002F3200000000000000000000000000000000095 -S3154002F3300000000000000000000000000000000085 -S3154002F3400000000000000000000000000000000075 -S3154002F3500000000000000000000000000000000065 -S3154002F3600000000000000000000000000000000055 -S3154002F3700000000000000000000000000000000045 -S3154002F3800000000000000000000000000000000035 -S3154002F3900000000000000000000000000000000025 -S3154002F3A00000000000000000000000000000000015 -S3154002F3B00000000000000000000000000000000005 -S3154002F3C000000000000000000000000000000000F5 -S3154002F3D000000000000000000000000000000000E5 -S3154002F3E000000000000000000000000000000000D5 -S3154002F3F000000000000000000000000000000000C5 -S3154002F40000000000000000000000000000000000B4 -S3154002F41000000000000000000000000000000000A4 -S3154002F4200000000000000000000000000000000094 -S3154002F4300000000000000000000000000000000084 -S3154002F4400000000000000000000000000000000074 -S3154002F4500000000000000000000000000000000064 -S3154002F4600000000000000000000000000000000054 -S3154002F4700000000000000000000000000000000044 -S3154002F4800000000000000000000000000000000034 -S3154002F4900000000000000000000000000000000024 -S3154002F4A00000000000000000000000000000000014 -S3154002F4B00000000000000000000000000000000004 -S3154002F4C000000000000000000000000000000000F4 -S3154002F4D000000000000000000000000000000000E4 -S3154002F4E000000000000000000000000000000000D4 -S3154002F4F000000000000000000000000000000000C4 -S3154002F50000000000000000000000000000000000B3 -S3154002F51000000000000000000000000000000000A3 -S3154002F5200000000000000000000000000000000093 -S3154002F5300000000000000000000000000000000083 -S3154002F5400000000000000000000000000000000073 -S3154002F5500000000000000000000000000000000063 -S3154002F5600000000000000000000000000000000053 -S3154002F5700000000000000000000000000000000043 -S3154002F5800000000000000000000000000000000033 -S3154002F5900000000000000000000000000000000023 -S3154002F5A00000000000000000000000000000000013 -S3154002F5B00000000000000000000000000000000003 -S3154002F5C000000000000000000000000000000000F3 -S3154002F5D000000000000000000000000000000000E3 -S3154002F5E000000000000000000000000000000000D3 -S3154002F5F000000000000000000000000000000000C3 -S3154002F60000000000000000000000000000000000B2 -S3154002F61000000000000000000000000000000000A2 -S3154002F6200000000000000000000000000000000092 -S3154002F6300000000000000000000000000000000082 -S3154002F6400000000000000000000000000000000072 -S3154002F6500000000000000000000000000000000062 -S3154002F6600000000000000000000000000000000052 -S3154002F6700000000000000000000000000000000042 -S3154002F6800000000000000000000000000000000032 -S3154002F6900000000000000000000000000000000022 -S3154002F6A00000000000000000000000000000000012 -S3154002F6B00000000000000000000000000000000002 -S3154002F6C000000000000000000000000000000000F2 -S3154002F6D000000000000000000000000000000000E2 -S3154002F6E000000000000000000000000000000000D2 -S3154002F6F000000000000000000000000000000000C2 -S3154002F70000000000000000000000000000000000B1 -S3154002F71000000000000000000000000000000000A1 -S3154002F7200000000000000000000000000000000091 -S3154002F7300000000000000000000000000000000081 -S3154002F7400000000000000000000000000000000071 -S3154002F7500000000000000000000000000000000061 -S3154002F7600000000000000000000000000000000051 -S3154002F7700000000000000000000000000000000041 -S3154002F7800000000000000000000000000000000031 -S3154002F7900000000000000000000000000000000021 -S3154002F7A00000000000000000000000000000000011 -S3154002F7B00000000000000000000000000000000001 -S3154002F7C000000000000000000000000000000000F1 -S3154002F7D000000000000000000000000000000000E1 -S3154002F7E000000000000000000000000000000000D1 -S3154002F7F000000000000000000000000000000000C1 -S3154002F80000000000000000000000000000000000B0 -S3154002F81000000000000000000000000000000000A0 -S3154002F8200000000000000000000000000000000090 -S3154002F8300000000000000000000000000000000080 -S3154002F8400000000000000000000000000000000070 -S3154002F8500000000000000000000000000000000060 -S3154002F8600000000000000000000000000000000050 -S3154002F8700000000000000000000000000000000040 -S3154002F8800000000000000000000000000000000030 -S3154002F8900000000000000000000000000000000020 -S3154002F8A00000000000000000000000000000000010 -S3154002F8B00000000000000000000000000000000000 -S3154002F8C000000000000000000000000000000000F0 -S3154002F8D000000000000000000000000000000000E0 -S3154002F8E000000000000000000000000000000000D0 -S3154002F8F000000000000000000000000000000000C0 -S3154002F90000000000000000000000000000000000AF -S3154002F910000000000000000000000000000000009F -S3154002F920000000000000000000000000000000008F -S3154002F930000000000000000000000000000000007F -S3154002F940000000000000000000000000000000006F -S3154002F950000000000000000000000000000000005F -S3154002F960000000000000000000000000000000004F -S3154002F970000000000000000000000000000000003F -S3154002F980000000000000000000000000000000002F -S3154002F990000000000000000000000000000000001F -S3154002F9A0000000000000000000000000000000000F -S3154002F9B000000000000000000000000000000000FF -S3154002F9C000000000000000000000000000000000EF -S3154002F9D000000000000000000000000000000000DF -S3154002F9E000000000000000000000000000000000CF -S3154002F9F000000000000000000000000000000000BF -S3154002FA0000000000000000000000000000000000AE -S3154002FA10000000000000000000000000000000009E -S3154002FA20000000000000000000000000000000008E -S3154002FA30000000000000000000000000000000007E -S3154002FA40000000000000000000000000000000006E -S3154002FA50000000000000000000000000000000005E -S3154002FA60000000000000000000000000000000004E -S3154002FA70000000000000000000000000000000003E -S3154002FA80000000000000000000000000000000002E -S3154002FA90000000000000000000000000000000001E -S3154002FAA0000000000000000000000000000000000E -S3154002FAB000000000000000000000000000000000FE -S3154002FAC000000000000000000000000000000000EE -S3154002FAD000000000000000000000000000000000DE -S3154002FAE000000000000000000000000000000000CE -S3154002FAF000000000000000000000000000000000BE -S3154002FB0000000000000000000000000000000000AD -S3154002FB10000000000000000000000000000000009D -S3154002FB20000000000000000000000000000000008D -S3154002FB30000000000000000000000000000000007D -S3154002FB40000000000000000000000000000000006D -S3154002FB50000000000000000000000000000000005D -S3154002FB60000000000000000000000000000000004D -S3154002FB70000000000000000000000000000000003D -S3154002FB80000000000000000000000000000000002D -S3154002FB90000000000000000000000000000000001D -S3154002FBA0000000000000000000000000000000000D -S3154002FBB000000000000000000000000000000000FD -S3154002FBC000000000000000000000000000000000ED -S3154002FBD000000000000000000000000000000000DD -S3154002FBE000000000000000000000000000000000CD -S3154002FBF000000000000000000000000000000000BD -S3154002FC0000000000000000000000000000000000AC -S3154002FC10000000000000000000000000000000009C -S3154002FC20000000000000000000000000000000008C -S3154002FC30000000000000000000000000000000007C -S3154002FC40000000000000000000000000000000006C -S3154002FC50000000000000000000000000000000005C -S3154002FC60000000000000000000000000000000004C -S3154002FC70000000000000000000000000000000003C -S3154002FC80000000000000000000000000000000002C -S3154002FC90000000000000000000000000000000001C -S3154002FCA0000000000000000000000000000000000C -S3154002FCB000000000000000000000000000000000FC -S3154002FCC000000000000000000000000000000000EC -S3154002FCD000000000000000000000000000000000DC -S3154002FCE000000000000000000000000000000000CC -S3154002FCF000000000000000000000000000000000BC -S3154002FD0000000000000000000000000000000000AB -S3154002FD10000000000000000000000000000000009B -S3154002FD20000000000000000000000000000000008B -S3154002FD30000000000000000000000000000000007B -S3154002FD40000000000000000000000000000000006B -S3154002FD50000000000000000000000000000000005B -S3154002FD60000000000000000000000000000000004B -S3154002FD70000000000000000000000000000000003B -S3154002FD80000000000000000000000000000000002B -S3154002FD90000000000000000000000000000000001B -S3154002FDA0000000000000000000000000000000000B -S3154002FDB000000000000000000000000000000000FB -S3154002FDC000000000000000000000000000000000EB -S3154002FDD000000000000000000000000000000000DB -S3154002FDE000000000000000000000000000000000CB -S3154002FDF000000000000000000000000000000000BB -S3154002FE0000000000000000000000000000000000AA -S3154002FE10000000000000000000000000000000009A -S3154002FE20000000000000000000000000000000008A -S3154002FE30000000000000000000000000000000007A -S3154002FE40000000000000000000000000000000006A -S3154002FE50000000000000000000000000000000005A -S3154002FE60000000000000000000000000000000004A -S3154002FE70000000000000000000000000000000003A -S3154002FE80000000000000000000000000000000002A -S3154002FE90000000000000000000000000000000001A -S3154002FEA0000000000000000000000000000000000A -S3154002FEB000000000000000000000000000000000FA -S3154002FEC000000000000000000000000000000000EA -S3154002FED000000000000000000000000000000000DA -S3154002FEE000000000000000000000000000000000CA -S3154002FEF000000000000000000000000000000000BA -S3154002FF0000000000000000000000000000000000A9 -S3154002FF100000000000000000000000000000000099 -S3154002FF200000000000000000000000000000000089 -S3154002FF300000000000000000000000000000000079 -S3154002FF400000000000000000000000000000000069 -S3154002FF500000000000000000000000000000000059 -S3154002FF600000000000000000000000000000000049 -S3154002FF700000000000000000000000000000000039 -S3154002FF800000000000000000000000000000000029 -S3154002FF900000000000000000000000000000000019 -S3154002FFA00000000000000000000000000000000009 -S3154002FFB000000000000000000000000000000000F9 -S3154002FFC000000000000000000000000000000000E9 -S3154002FFD000000000000000000000000000000000D9 -S3154002FFE000000000000000000000000000000000C9 -S3154002FFF000000000000000000000000000000000B9 -S3154003000000000000000000000000000000000000A7 -S315400300100000000000000000000000000000000097 -S315400300200000000000000000000000000000000087 -S315400300300000000000000000000000000000000077 -S315400300400000000000000000000000000000000067 -S315400300500000000000000000000000000000000057 -S315400300600000000000000000000000000000000047 -S315400300700000000000000000000000000000000037 -S315400300800000000000000000000000000000000027 -S315400300900000000000000000000000000000000017 -S315400300A00000000000000000000000000000000007 -S315400300B000000000000000000000000000000000F7 -S315400300C000000000000000000000000000000000E7 -S315400300D000000000000000000000000000000000D7 -S315400300E000000000000000000000000000000000C7 -S315400300F000000000000000000000000000000000B7 -S3154003010000000000000000000000000000000000A6 -S315400301100000000000000000000000000000000096 -S315400301200000000000000000000000000000000086 -S315400301300000000000000000000000000000000076 -S315400301400000000000000000000000000000000066 -S315400301500000000000000000000000000000000056 -S315400301600000000000000000000000000000000046 -S315400301700000000000000000000000000000000036 -S315400301800000000000000000000000000000000026 -S315400301900000000000000000000000000000000016 -S315400301A00000000000000000000000000000000006 -S315400301B000000000000000000000000000000000F6 -S315400301C000000000000000000000000000000000E6 -S315400301D000000000000000000000000000000000D6 -S315400301E000000000000000000000000000000000C6 -S315400301F000000000000000000000000000000000B6 -S3154003020000000000000000000000000000000000A5 -S315400302100000000000000000000000000000000095 -S315400302200000000000000000000000000000000085 -S315400302300000000000000000000000000000000075 -S315400302400000000000000000000000000000000065 -S315400302500000000000000000000000000000000055 -S315400302600000000000000000000000000000000045 -S315400302700000000000000000000000000000000035 -S315400302800000000000000000000000000000000025 -S315400302900000000000000000000000000000000015 -S315400302A00000000000000000000000000000000005 -S315400302B000000000000000000000000000000000F5 -S315400302C000000000000000000000000000000000E5 -S315400302D000000000000000000000000000000000D5 -S315400302E000000000000000000000000000000000C5 -S315400302F000000000000000000000000000000000B5 -S3154003030000000000000000000000000000000000A4 -S315400303100000000000000000000000000000000094 -S315400303200000000000000000000000000000000084 -S315400303300000000000000000000000000000000074 -S315400303400000000000000000000000000000000064 -S315400303500000000000000000000000000000000054 -S315400303600000000000000000000000000000000044 -S315400303700000000000000000000000000000000034 -S315400303800000000000000000000000000000000024 -S315400303900000000000000000000000000000000014 -S315400303A00000000000000000000000000000000004 -S315400303B000000000000000000000000000000000F4 -S315400303C000000000000000000000000000000000E4 -S315400303D000000000000000000000000000000000D4 -S315400303E000000000000000000000000000000000C4 -S315400303F000000000000000000000000000000000B4 -S3154003040000000000000000000000000000000000A3 -S315400304100000000000000000000000000000000093 -S315400304200000000000000000000000000000000083 -S315400304300000000000000000000000000000000073 -S315400304400000000000000000000000000000000063 -S315400304500000000000000000000000000000000053 -S315400304600000000000000000000000000000000043 -S315400304700000000000000000000000000000000033 -S315400304800000000000000000000000000000000023 -S315400304900000000000000000000000000000000013 -S315400304A00000000000000000000000000000000003 -S315400304B000000000000000000000000000000000F3 -S315400304C000000000000000000000000000000000E3 -S315400304D000000000000000000000000000000000D3 -S315400304E000000000000000000000000000000000C3 -S315400304F000000000000000000000000000000000B3 -S3154003050000000000000000000000000000000000A2 -S315400305100000000000000000000000000000000092 -S315400305200000000000000000000000000000000082 -S315400305300000000000000000000000000000000072 -S315400305400000000000000000000000000000000062 -S315400305500000000000000000000000000000000052 -S315400305600000000000000000000000000000000042 -S315400305700000000000000000000000000000000032 -S315400305800000000000000000000000000000000022 -S315400305900000000000000000000000000000000012 -S315400305A00000000000000000000000000000000002 -S315400305B000000000000000000000000000000000F2 -S315400305C000000000000000000000000000000000E2 -S315400305D000000000000000000000000000000000D2 -S315400305E000000000000000000000000000000000C2 -S315400305F000000000000000000000000000000000B2 -S3154003060000000000000000000000000000000000A1 -S315400306100000000000000000000000000000000091 -S315400306200000000000000000000000000000000081 -S315400306300000000000000000000000000000000071 -S315400306400000000000000000000000000000000061 -S315400306500000000000000000000000000000000051 -S315400306600000000000000000000000000000000041 -S315400306700000000000000000000000000000000031 -S315400306800000000000000000000000000000000021 -S315400306900000000000000000000000000000000011 -S315400306A00000000000000000000000000000000001 -S315400306B000000000000000000000000000000000F1 -S315400306C000000000000000000000000000000000E1 -S315400306D000000000000000000000000000000000D1 -S315400306E000000000000000000000000000000000C1 -S315400306F000000000000000000000000000000000B1 -S3154003070000000000000000000000000000000000A0 -S315400307100000000000000000000000000000000090 -S315400307200000000000000000000000000000000080 -S315400307300000000000000000000000000000000070 -S315400307400000000000000000000000000000000060 -S315400307500000000000000000000000000000000050 -S315400307600000000000000000000000000000000040 -S315400307700000000000000000000000000000000030 -S315400307800000000000000000000000000000000020 -S315400307900000000000000000000000000000000010 -S315400307A00000000000000000000000000000000000 -S315400307B000000000000000000000000000000000F0 -S315400307C000000000000000000000000000000000E0 -S315400307D000000000000000000000000000000000D0 -S315400307E000000000000000000000000000000000C0 -S315400307F000000000000000000000000000000000B0 -S31540030800000000000000000000000000000000009F -S31540030810000000000000000000000000000000008F -S31540030820000000000000000000000000000000007F -S31540030830000000000000000000000000000000006F -S31540030840000000000000000000000000000000005F -S31540030850000000000000000000000000000000004F -S31540030860000000000000000000000000000000003F -S31540030870000000000000000000000000000000002F -S31540030880000000000000000000000000000000001F -S31540030890000000000000000000000000000000000F -S315400308A000000000000000000000000000000000FF -S315400308B000000000000000000000000000000000EF -S315400308C000000000000000000000000000000000DF -S315400308D000000000000000000000000000000000CF -S315400308E000000000000000000000000000000000BF -S315400308F000000000000000000000000000000000AF -S31540030900000000000000000000000000000000009E -S31540030910000000000000000000000000000000008E -S31540030920000000000000000000000000000000007E -S31540030930000000000000000000000000000000006E -S31540030940000000000000000000000000000000005E -S31540030950000000000000000000000000000000004E -S31540030960000000000000000000000000000000003E -S31540030970000000000000000000000000000000002E -S31540030980000000000000000000000000000000001E -S31540030990000000000000000000000000000000000E -S315400309A000000000000000000000000000000000FE -S315400309B000000000000000000000000000000000EE -S315400309C000000000000000000000000000000000DE -S315400309D000000000000000000000000000000000CE -S315400309E000000000000000000000000000000000BE -S315400309F000000000000000000000000000000000AE -S31540030A00000000000000000000000000000000009D -S31540030A10000000000000000000000000000000008D -S31540030A20000000000000000000000000000000007D -S31540030A30000000000000000000000000000000006D -S31540030A40000000000000000000000000000000005D -S31540030A50000000000000000000000000000000004D -S31540030A60000000000000000000000000000000003D -S31540030A70000000000000000000000000000000002D -S31540030A80000000000000000000000000000000001D -S31540030A90000000000000000000000000000000000D -S31540030AA000000000000000000000000000000000FD -S31540030AB000000000000000000000000000000000ED -S31540030AC000000000000000000000000000000000DD -S31540030AD000000000000000000000000000000000CD -S31540030AE000000000000000000000000000000000BD -S31540030AF000000000000000000000000000000000AD -S31540030B00000000000000000000000000000000009C -S31540030B10000000000000000000000000000000008C -S31540030B20000000000000000000000000000000007C -S31540030B30000000000000000000000000000000006C -S31540030B40000000000000000000000000000000005C -S31540030B50000000000000000000000000000000004C -S31540030B60000000000000000000000000000000003C -S31540030B70000000000000000000000000000000002C -S31540030B80000000000000000000000000000000001C -S31540030B90000000000000000000000000000000000C -S31540030BA000000000000000000000000000000000FC -S31540030BB000000000000000000000000000000000EC -S31540030BC000000000000000000000000000000000DC -S31540030BD000000000000000000000000000000000CC -S31540030BE000000000000000000000000000000000BC -S31540030BF000000000000000000000000000000000AC -S31540030C00000000000000000000000000000000009B -S31540030C10000000000000000000000000000000008B -S31540030C20000000000000000000000000000000007B -S31540030C30000000000000000000000000000000006B -S31540030C40000000000000000000000000000000005B -S31540030C50000000000000000000000000000000004B -S31540030C60000000000000000000000000000000003B -S31540030C70000000000000000000000000000000002B -S31540030C80000000000000000000000000000000001B -S31540030C90000000000000000000000000000000000B -S31540030CA000000000000000000000000000000000FB -S31540030CB000000000000000000000000000000000EB -S31540030CC000000000000000000000000000000000DB -S31540030CD000000000000000000000000000000000CB -S31540030CE000000000000000000000000000000000BB -S31540030CF000000000000000000000000000000000AB -S31540030D00000000000000000000000000000000009A -S31540030D10000000000000000000000000000000008A -S31540030D20000000000000000000000000000000007A -S31540030D30000000000000000000000000000000006A -S31540030D40000000000000000000000000000000005A -S31540030D50000000000000000000000000000000004A -S31540030D60000000000000000000000000000000003A -S31540030D70000000000000000000000000000000002A -S31540030D80000000000000000000000000000000001A -S31540030D90000000000000000000000000000000000A -S31540030DA000000000000000000000000000000000FA -S31540030DB000000000000000000000000000000000EA -S31540030DC000000000000000000000000000000000DA -S31540030DD000000000000000000000000000000000CA -S31540030DE000000000000000000000000000000000BA -S31540030DF000000000000000000000000000000000AA -S31540030E000000000000000000000000000000000099 -S31540030E100000000000000000000000000000000089 -S31540030E200000000000000000000000000000000079 -S31540030E300000000000000000000000000000000069 -S31540030E400000000000000000000000000000000059 -S31540030E500000000000000000000000000000000049 -S31540030E600000000000000000000000000000000039 -S31540030E700000000000000000000000000000000029 -S31540030E800000000000000000000000000000000019 -S31540030E900000000000000000000000000000000009 -S31540030EA000000000000000000000000000000000F9 -S31540030EB000000000000000000000000000000000E9 -S31540030EC000000000000000000000000000000000D9 -S31540030ED000000000000000000000000000000000C9 -S31540030EE000000000000000000000000000000000B9 -S31540030EF000000000000000000000000000000000A9 -S31540030F000000000000000000000000000000000098 -S31540030F100000000000000000000000000000000088 -S31540030F200000000000000000000000000000000078 -S31540030F300000000000000000000000000000000068 -S31540030F400000000000000000000000000000000058 -S31540030F500000000000000000000000000000000048 -S31540030F600000000000000000000000000000000038 -S31540030F700000000000000000000000000000000028 -S31540030F800000000000000000000000000000000018 -S31540030F900000000000000000000000000000000008 -S31540030FA000000000000000000000000000000000F8 -S31540030FB000000000000000000000000000000000E8 -S31540030FC000000000000000000000000000000000D8 -S31540030FD000000000000000000000000000000000C8 -S31540030FE000000000000000000000000000000000B8 -S31540030FF000000000000000000000000000000000A8 -S315400310000000000000000000000000000000000097 -S315400310100000000000000000000000000000000087 -S315400310200000000000000000000000000000000077 -S315400310300000000000000000000000000000000067 -S315400310400000000000000000000000000000000057 -S315400310500000000000000000000000000000000047 -S315400310600000000000000000000000000000000037 -S315400310700000000000000000000000000000000027 -S315400310800000000000000000000000000000000017 -S315400310900000000000000000000000000000000007 -S315400310A000000000000000000000000000000000F7 -S315400310B000000000000000000000000000000000E7 -S315400310C000000000000000000000000000000000D7 -S315400310D000000000000000000000000000000000C7 -S315400310E000000000000000000000000000000000B7 -S315400310F000000000000000000000000000000000A7 -S315400311000000000000000000000000000000000096 -S315400311100000000000000000000000000000000086 -S315400311200000000000000000000000000000000076 -S315400311300000000000000000000000000000000066 -S315400311400000000000000000000000000000000056 -S315400311500000000000000000000000000000000046 -S315400311600000000000000000000000000000000036 -S315400311700000000000000000000000000000000026 -S315400311800000000000000000000000000000000016 -S315400311900000000000000000000000000000000006 -S315400311A000000000000000000000000000000000F6 -S315400311B000000000000000000000000000000000E6 -S315400311C000000000000000000000000000000000D6 -S315400311D000000000000000000000000000000000C6 -S315400311E000000000000000000000000000000000B6 -S315400311F000000000000000000000000000000000A6 -S315400312000000000000000000000000000000000095 -S315400312100000000000000000000000000000000085 -S315400312200000000000000000000000000000000075 -S315400312300000000000000000000000000000000065 -S315400312400000000000000000000000000000000055 -S315400312500000000000000000000000000000000045 -S315400312600000000000000000000000000000000035 -S315400312700000000000000000000000000000000025 -S315400312800000000000000000000000000000000015 -S315400312900000000000000000000000000000000005 -S315400312A000000000000000000000000000000000F5 -S315400312B000000000000000000000000000000000E5 -S315400312C000000000000000000000000000000000D5 -S315400312D000000000000000000000000000000000C5 -S315400312E000000000000000000000000000000000B5 -S315400312F000000000000000000000000000000000A5 -S315400313000000000000000000000000000000000094 -S315400313100000000000000000000000000000000084 -S315400313200000000000000000000000000000000074 -S315400313300000000000000000000000000000000064 -S315400313400000000000000000000000000000000054 -S315400313500000000000000000000000000000000044 -S315400313600000000000000000000000000000000034 -S315400313700000000000000000000000000000000024 -S315400313800000000000000000000000000000000014 -S315400313900000000000000000000000000000000004 -S315400313A000000000000000000000000000000000F4 -S315400313B000000000000000000000000000000000E4 -S315400313C000000000000000000000000000000000D4 -S315400313D000000000000000000000000000000000C4 -S315400313E000000000000000000000000000000000B4 -S315400313F000000000000000000000000000000000A4 -S315400314000000000000000000000000000000000093 -S315400314100000000000000000000000000000000083 -S315400314200000000000000000000000000000000073 -S315400314300000000000000000000000000000000063 -S315400314400000000000000000000000000000000053 -S315400314500000000000000000000000000000000043 -S315400314600000000000000000000000000000000033 -S315400314700000000000000000000000000000000023 -S315400314800000000000000000000000000000000013 -S315400314900000000000000000000000000000000003 -S315400314A000000000000000000000000000000000F3 -S315400314B000000000000000000000000000000000E3 -S315400314C000000000000000000000000000000000D3 -S315400314D000000000000000000000000000000000C3 -S315400314E000000000000000000000000000000000B3 -S315400314F000000000000000000000000000000000A3 -S315400315000000000000000000000000000000000092 -S315400315100000000000000000000000000000000082 -S315400315200000000000000000000000000000000072 -S315400315300000000000000000000000000000000062 -S315400315400000000000000000000000000000000052 -S315400315500000000000000000000000000000000042 -S315400315600000000000000000000000000000000032 -S315400315700000000000000000000000000000000022 -S315400315800000000000000000000000000000000012 -S315400315900000000000000000000000000000000002 -S315400315A000000000000000000000000000000000F2 -S315400315B000000000000000000000000000000000E2 -S315400315C000000000000000000000000000000000D2 -S315400315D000000000000000000000000000000000C2 -S315400315E000000000000000000000000000000000B2 -S315400315F000000000000000000000000000000000A2 -S315400316000000000000000000000000000000000091 -S315400316100000000000000000000000000000000081 -S315400316200000000000000000000000000000000071 -S315400316300000000000000000000000000000000061 -S315400316400000000000000000000000000000000051 -S315400316500000000000000000000000000000000041 -S315400316600000000000000000000000000000000031 -S315400316700000000000000000000000000000000021 -S315400316800000000000000000000000000000000011 -S315400316900000000000000000000000000000000001 -S315400316A000000000000000000000000000000000F1 -S315400316B000000000000000000000000000000000E1 -S315400316C000000000000000000000000000000000D1 -S315400316D000000000000000000000000000000000C1 -S315400316E000000000000000000000000000000000B1 -S315400316F000000000000000000000000000000000A1 -S315400317000000000000000000000000000000000090 -S315400317100000000000000000000000000000000080 -S315400317200000000000000000000000000000000070 -S315400317300000000000000000000000000000000060 -S315400317400000000000000000000000000000000050 -S315400317500000000000000000000000000000000040 -S315400317600000000000000000000000000000000030 -S315400317700000000000000000000000000000000020 -S315400317800000000000000000000000000000000010 -S315400317900000000000000000000000000000000000 -S315400317A000000000000000000000000000000000F0 -S315400317B000000000000000000000000000000000E0 -S315400317C000000000000000000000000000000000D0 -S315400317D000000000000000000000000000000000C0 -S315400317E000000000000000000000000000000000B0 -S315400317F000000000000000000000000000000000A0 -S31540031800000000000000000000000000000000008F -S31540031810000000000000000000000000000000007F -S31540031820000000000000000000000000000000006F -S31540031830000000000000000000000000000000005F -S31540031840000000000000000000000000000000004F -S31540031850000000000000000000000000000000003F -S31540031860000000000000000000000000000000002F -S31540031870000000000000000000000000000000001F -S31540031880000000000000000000000000000000000F -S3154003189000000000000000000000000000000000FF -S315400318A000000000000000000000000000000000EF -S315400318B000000000000000000000000000000000DF -S315400318C000000000000000000000000000000000CF -S315400318D000000000000000000000000000000000BF -S315400318E000000000000000000000000000000000AF -S315400318F0000000000000000000000000000000009F -S31540031900000000000000000000000000000000008E -S31540031910000000000000000000000000000000007E -S31540031920000000000000000000000000000000006E -S31540031930000000000000000000000000000000005E -S31540031940000000000000000000000000000000004E -S31540031950000000000000000000000000000000003E -S31540031960000000000000000000000000000000002E -S31540031970000000000000000000000000000000001E -S31540031980000000000000000000000000000000000E -S3154003199000000000000000000000000000000000FE -S315400319A000000000000000000000000000000000EE -S315400319B000000000000000000000000000000000DE -S315400319C000000000000000000000000000000000CE -S315400319D000000000000000000000000000000000BE -S315400319E000000000000000000000000000000000AE -S315400319F0000000000000000000000000000000009E -S31540031A00000000000000000000000000000000008D -S31540031A10000000000000000000000000000000007D -S31540031A20000000000000000000000000000000006D -S31540031A30000000000000000000000000000000005D -S31540031A40000000000000000000000000000000004D -S31540031A50000000000000000000000000000000003D -S31540031A60000000000000000000000000000000002D -S31540031A70000000000000000000000000000000001D -S31540031A80000000000000000000000000000000000D -S31540031A9000000000000000000000000000000000FD -S31540031AA000000000000000000000000000000000ED -S31540031AB000000000000000000000000000000000DD -S31540031AC000000000000000000000000000000000CD -S31540031AD000000000000000000000000000000000BD -S31540031AE000000000000000000000000000000000AD -S31540031AF0000000000000000000000000000000009D -S31540031B00000000000000000000000000000000008C -S31540031B10000000000000000000000000000000007C -S31540031B20000000000000000000000000000000006C -S31540031B30000000000000000000000000000000005C -S31540031B40000000000000000000000000000000004C -S31540031B50000000000000000000000000000000003C -S31540031B60000000000000000000000000000000002C -S31540031B70000000000000000000000000000000001C -S31540031B80000000000000000000000000000000000C -S31540031B9000000000000000000000000000000000FC -S31540031BA000000000000000000000000000000000EC -S31540031BB000000000000000000000000000000000DC -S31540031BC000000000000000000000000000000000CC -S31540031BD000000000000000000000000000000000BC -S31540031BE000000000000000000000000000000000AC -S31540031BF0000000000000000000000000000000009C -S31540031C00000000000000000000000000000000008B -S31540031C10000000000000000000000000000000007B -S31540031C20000000000000000000000000000000006B -S31540031C30000000000000000000000000000000005B -S31540031C40000000000000000000000000000000004B -S31540031C50000000000000000000000000000000003B -S31540031C60000000000000000000000000000000002B -S31540031C70000000000000000000000000000000001B -S31540031C80000000000000000000000000000000000B -S31540031C9000000000000000000000000000000000FB -S31540031CA000000000000000000000000000000000EB -S31540031CB000000000000000000000000000000000DB -S31540031CC000000000000000000000000000000000CB -S31540031CD000000000000000000000000000000000BB -S31540031CE000000000000000000000000000000000AB -S31540031CF0000000000000000000000000000000009B -S31540031D00000000000000000000000000000000008A -S31540031D10000000000000000000000000000000007A -S31540031D20000000000000000000000000000000006A -S31540031D30000000000000000000000000000000005A -S31540031D40000000000000000000000000000000004A -S31540031D50000000000000000000000000000000003A -S31540031D60000000000000000000000000000000002A -S31540031D70000000000000000000000000000000001A -S31540031D80000000000000000000000000000000000A -S31540031D9000000000000000000000000000000000FA -S31540031DA000000000000000000000000000000000EA -S31540031DB000000000000000000000000000000000DA -S31540031DC000000000000000000000000000000000CA -S31540031DD000000000000000000000000000000000BA -S31540031DE000000000000000000000000000000000AA -S31540031DF0000000000000000000000000000000009A -S31540031E000000000000000000000000000000000089 -S31540031E100000000000000000000000000000000079 -S31540031E200000000000000000000000000000000069 -S31540031E300000000000000000000000000000000059 -S31540031E400000000000000000000000000000000049 -S31540031E500000000000000000000000000000000039 -S31540031E600000000000000000000000000000000029 -S31540031E700000000000000000000000000000000019 -S31540031E800000000000000000000000000000000009 -S31540031E9000000000000000000000000000000000F9 -S31540031EA000000000000000000000000000000000E9 -S31540031EB000000000000000000000000000000000D9 -S31540031EC000000000000000000000000000000000C9 -S31540031ED000000000000000000000000000000000B9 -S31540031EE000000000000000000000000000000000A9 -S31540031EF00000000000000000000000000000000099 -S31540031F000000000000000000000000000000000088 -S31540031F100000000000000000000000000000000078 -S31540031F200000000000000000000000000000000068 -S31540031F300000000000000000000000000000000058 -S31540031F400000000000000000000000000000000048 -S31540031F500000000000000000000000000000000038 -S31540031F600000000000000000000000000000000028 -S31540031F700000000000000000000000000000000018 -S31540031F800000000000000000000000000000000008 -S31540031F9000000000000000000000000000000000F8 -S31540031FA000000000000000000000000000000000E8 -S31540031FB000000000000000000000000000000000D8 -S31540031FC000000000000000000000000000000000C8 -S31540031FD000000000000000000000000000000000B8 -S31540031FE000000000000000000000000000000000A8 -S31540031FF00000000000000000000000000000000098 -S315400320000000000000000000000000000000000087 -S315400320100000000000000000000000000000000077 -S315400320200000000000000000000000000000000067 -S315400320300000000000000000000000000000000057 -S315400320400000000000000000000000000000000047 -S315400320500000000000000000000000000000000037 -S315400320600000000000000000000000000000000027 -S315400320700000000000000000000000000000000017 -S315400320800000000000000000000000000000000007 -S3154003209000000000000000000000000000000000F7 -S315400320A000000000000000000000000000000000E7 -S315400320B000000000000000000000000000000000D7 -S315400320C000000000000000000000000000000000C7 -S315400320D000000000000000000000000000000000B7 -S315400320E000000000000000000000000000000000A7 -S315400320F00000000000000000000000000000000097 -S315400321000000000000000000000000000000000086 -S315400321100000000000000000000000000000000076 -S315400321200000000000000000000000000000000066 -S315400321300000000000000000000000000000000056 -S315400321400000000000000000000000000000000046 -S315400321500000000000000000000000000000000036 -S315400321600000000000000000000000000000000026 -S315400321700000000000000000000000000000000016 -S315400321800000000000000000000000000000000006 -S3154003219000000000000000000000000000000000F6 -S315400321A000000000000000000000000000000000E6 -S315400321B000000000000000000000000000000000D6 -S315400321C000000000000000000000000000000000C6 -S315400321D000000000000000000000000000000000B6 -S315400321E000000000000000000000000000000000A6 -S315400321F00000000000000000000000000000000096 -S315400322000000000000000000000000000000000085 -S315400322100000000000000000000000000000000075 -S315400322200000000000000000000000000000000065 -S315400322300000000000000000000000000000000055 -S315400322400000000000000000000000000000000045 -S315400322500000000000000000000000000000000035 -S315400322600000000000000000000000000000000025 -S315400322700000000000000000000000000000000015 -S315400322800000000000000000000000000000000005 -S3154003229000000000000000000000000000000000F5 -S315400322A000000000000000000000000000000000E5 -S315400322B000000000000000000000000000000000D5 -S315400322C000000000000000000000000000000000C5 -S315400322D000000000000000000000000000000000B5 -S315400322E000000000000000000000000000000000A5 -S315400322F00000000000000000000000000000000095 -S315400323000000000000000000000000000000000084 -S315400323100000000000000000000000000000000074 -S315400323200000000000000000000000000000000064 -S315400323300000000000000000000000000000000054 -S315400323400000000000000000000000000000000044 -S315400323500000000000000000000000000000000034 -S315400323600000000000000000000000000000000024 -S315400323700000000000000000000000000000000014 -S315400323800000000000000000000000000000000004 -S3154003239000000000000000000000000000000000F4 -S315400323A000000000000000000000000000000000E4 -S315400323B000000000000000000000000000000000D4 -S315400323C000000000000000000000000000000000C4 -S315400323D000000000000000000000000000000000B4 -S315400323E000000000000000000000000000000000A4 -S315400323F00000000000000000000000000000000094 -S315400324000000000000000000000000000000000083 -S315400324100000000000000000000000000000000073 -S315400324200000000000000000000000000000000063 -S315400324300000000000000000000000000000000053 -S315400324400000000000000000000000000000000043 -S315400324500000000000000000000000000000000033 -S315400324600000000000000000000000000000000023 -S315400324700000000000000000000000000000000013 -S315400324800000000000000000000000000000000003 -S3154003249000000000000000000000000000000000F3 -S315400324A000000000000000000000000000000000E3 -S315400324B000000000000000000000000000000000D3 -S315400324C000000000000000000000000000000000C3 -S315400324D000000000000000000000000000000000B3 -S315400324E000000000000000000000000000000000A3 -S315400324F00000000000000000000000000000000093 -S315400325000000000000000000000000000000000082 -S315400325100000000000000000000000000000000072 -S315400325200000000000000000000000000000000062 -S315400325300000000000000000000000000000000052 -S315400325400000000000000000000000000000000042 -S315400325500000000000000000000000000000000032 -S315400325600000000000000000000000000000000022 -S315400325700000000000000000000000000000000012 -S315400325800000000000000000000000000000000002 -S3154003259000000000000000000000000000000000F2 -S315400325A000000000000000000000000000000000E2 -S315400325B000000000000000000000000000000000D2 -S315400325C000000000000000000000000000000000C2 -S315400325D000000000000000000000000000000000B2 -S315400325E000000000000000000000000000000000A2 -S315400325F00000000000000000000000000000000092 -S315400326000000000000000000000000000000000081 -S315400326100000000000000000000000000000000071 -S315400326200000000000000000000000000000000061 -S315400326300000000000000000000000000000000051 -S315400326400000000000000000000000000000000041 -S315400326500000000000000000000000000000000031 -S315400326600000000000000000000000000000000021 -S315400326700000000000000000000000000000000011 -S315400326800000000000000000000000000000000001 -S3154003269000000000000000000000000000000000F1 -S315400326A000000000000000000000000000000000E1 -S315400326B000000000000000000000000000000000D1 -S315400326C000000000000000000000000000000000C1 -S315400326D000000000000000000000000000000000B1 -S315400326E000000000000000000000000000000000A1 -S315400326F00000000000000000000000000000000091 -S315400327000000000000000000000000000000000080 -S315400327100000000000000000000000000000000070 -S315400327200000000000000000000000000000000060 -S315400327300000000000000000000000000000000050 -S315400327400000000000000000000000000000000040 -S315400327500000000000000000000000000000000030 -S315400327600000000000000000000000000000000020 -S315400327700000000000000000000000000000000010 -S315400327800000000000000000000000000000000000 -S3154003279000000000000000000000000000000000F0 -S315400327A000000000000000000000000000000000E0 -S315400327B000000000000000000000000000000000D0 -S315400327C000000000000000000000000000000000C0 -S315400327D000000000000000000000000000000000B0 -S315400327E000000000000000000000000000000000A0 -S315400327F00000000000000000000000000000000090 -S31540032800000000000000000000000000000000007F -S31540032810000000000000000000000000000000006F -S31540032820000000000000000000000000000000005F -S31540032830000000000000000000000000000000004F -S31540032840000000000000000000000000000000003F -S31540032850000000000000000000000000000000002F -S31540032860000000000000000000000000000000001F -S31540032870000000000000000000000000000000000F -S3154003288000000000000000000000000000000000FF -S3154003289000000000000000000000000000000000EF -S315400328A000000000000000000000000000000000DF -S315400328B000000000000000000000000000000000CF -S315400328C000000000000000000000000000000000BF -S315400328D000000000000000000000000000000000AF -S315400328E0000000000000000000000000000000009F -S315400328F0000000000000000000000000000000008F -S31540032900000000000000000000000000000000007E -S31540032910000000000000000000000000000000006E -S31540032920000000000000000000000000000000005E -S31540032930000000000000000000000000000000004E -S31540032940000000000000000000000000000000003E -S31540032950000000000000000000000000000000002E -S31540032960000000000000000000000000000000001E -S31540032970000000000000000000000000000000000E -S3154003298000000000000000000000000000000000FE -S3154003299000000000000000000000000000000000EE -S315400329A000000000000000000000000000000000DE -S315400329B000000000000000000000000000000000CE -S315400329C000000000000000000000000000000000BE -S315400329D000000000000000000000000000000000AE -S315400329E0000000000000000000000000000000009E -S315400329F0000000000000000000000000000000008E -S31540032A00000000000000000000000000000000007D -S31540032A10000000000000000000000000000000006D -S31540032A20000000000000000000000000000000005D -S31540032A30000000000000000000000000000000004D -S31540032A40000000000000000000000000000000003D -S31540032A50000000000000000000000000000000002D -S31540032A60000000000000000000000000000000001D -S31540032A70000000000000000000000000000000000D -S31540032A8000000000000000000000000000000000FD -S31540032A9000000000000000000000000000000000ED -S31540032AA000000000000000000000000000000000DD -S31540032AB000000000000000000000000000000000CD -S31540032AC000000000000000000000000000000000BD -S31540032AD000000000000000000000000000000000AD -S31540032AE0000000000000000000000000000000009D -S31540032AF0000000000000000000000000000000008D -S31540032B00000000000000000000000000000000007C -S31540032B10000000000000000000000000000000006C -S31540032B20000000000000000000000000000000005C -S31540032B30000000000000000000000000000000004C -S31540032B40000000000000000000000000000000003C -S31540032B50000000000000000000000000000000002C -S31540032B60000000000000000000000000000000001C -S31540032B70000000000000000000000000000000000C -S31540032B8000000000000000000000000000000000FC -S31540032B9000000000000000000000000000000000EC -S31540032BA000000000000000000000000000000000DC -S31540032BB000000000000000000000000000000000CC -S31540032BC000000000000000000000000000000000BC -S31540032BD000000000000000000000000000000000AC -S31540032BE0000000000000000000000000000000009C -S31540032BF0000000000000000000000000000000008C -S31540032C00000000000000000000000000000000007B -S31540032C10000000000000000000000000000000006B -S31540032C20000000000000000000000000000000005B -S31540032C30000000000000000000000000000000004B -S31540032C40000000000000000000000000000000003B -S31540032C50000000000000000000000000000000002B -S31540032C60000000000000000000000000000000001B -S31540032C70000000000000000000000000000000000B -S31540032C8000000000000000000000000000000000FB -S31540032C9000000000000000000000000000000000EB -S31540032CA000000000000000000000000000000000DB -S31540032CB000000000000000000000000000000000CB -S31540032CC000000000000000000000000000000000BB -S31540032CD000000000000000000000000000000000AB -S31540032CE0000000000000000000000000000000009B -S31540032CF0000000000000000000000000000000008B -S31540032D00000000000000000000000000000000007A -S31540032D10000000000000000000000000000000006A -S31540032D20000000000000000000000000000000005A -S31540032D30000000000000000000000000000000004A -S31540032D40000000000000000000000000000000003A -S31540032D50000000000000000000000000000000002A -S31540032D60000000000000000000000000000000001A -S31540032D70000000000000000000000000000000000A -S31540032D8000000000000000000000000000000000FA -S31540032D9000000000000000000000000000000000EA -S31540032DA000000000000000000000000000000000DA -S31540032DB000000000000000000000000000000000CA -S31540032DC000000000000000000000000000000000BA -S31540032DD000000000000000000000000000000000AA -S31540032DE0000000000000000000000000000000009A -S31540032DF0000000000000000000000000000000008A -S31540032E000000000000000000000000000000000079 -S31540032E100000000000000000000000000000000069 -S31540032E200000000000000000000000000000000059 -S31540032E300000000000000000000000000000000049 -S31540032E400000000000000000000000000000000039 -S31540032E500000000000000000000000000000000029 -S31540032E600000000000000000000000000000000019 -S31540032E700000000000000000000000000000000009 -S31540032E8000000000000000000000000000000000F9 -S31540032E9000000000000000000000000000000000E9 -S31540032EA000000000000000000000000000000000D9 -S31540032EB000000000000000000000000000000000C9 -S31540032EC000000000000000000000000000000000B9 -S31540032ED000000000000000000000000000000000A9 -S31540032EE00000000000000000000000000000000099 -S31540032EF00000000000000000000000000000000089 -S31540032F000000000000000000000000000000000078 -S31540032F100000000000000000000000000000000068 -S31540032F200000000000000000000000000000000058 -S31540032F300000000000000000000000000000000048 -S31540032F400000000000000000000000000000000038 -S31540032F500000000000000000000000000000000028 -S31540032F600000000000000000000000000000000018 -S31540032F700000000000000000000000000000000008 -S31540032F8000000000000000000000000000000000F8 -S31540032F9000000000000000000000000000000000E8 -S31540032FA000000000000000000000000000000000D8 -S31540032FB000000000000000000000000000000000C8 -S31540032FC000000000000000000000000000000000B8 -S31540032FD000000000000000000000000000000000A8 -S31540032FE00000000000000000000000000000000098 -S31540032FF00000000000000000000000000000000088 -S315400330000000000000000000000000000000000077 -S315400330100000000000000000000000000000000067 -S315400330200000000000000000000000000000000057 -S315400330300000000000000000000000000000000047 -S315400330400000000000000000000000000000000037 -S315400330500000000000000000000000000000000027 -S315400330600000000000000000000000000000000017 -S315400330700000000000000000000000000000000007 -S3154003308000000000000000000000000000000000F7 -S3154003309000000000000000000000000000000000E7 -S315400330A000000000000000000000000000000000D7 -S315400330B000000000000000000000000000000000C7 -S315400330C000000000000000000000000000000000B7 -S315400330D000000000000000000000000000000000A7 -S315400330E00000000000000000000000000000000097 -S315400330F00000000000000000000000000000000087 -S315400331000000000000000000000000000000000076 -S315400331100000000000000000000000000000000066 -S315400331200000000000000000000000000000000056 -S315400331300000000000000000000000000000000046 -S315400331400000000000000000000000000000000036 -S315400331500000000000000000000000000000000026 -S315400331600000000000000000000000000000000016 -S315400331700000000000000000000000000000000006 -S3154003318000000000000000000000000000000000F6 -S3154003319000000000000000000000000000000000E6 -S315400331A000000000000000000000000000000000D6 -S315400331B000000000000000000000000000000000C6 -S315400331C000000000000000000000000000000000B6 -S315400331D000000000000000000000000000000000A6 -S315400331E00000000000000000000000000000000096 -S315400331F00000000000000000000000000000000086 -S315400332000000000000000000000000000000000075 -S315400332100000000000000000000000000000000065 -S315400332200000000000000000000000000000000055 -S315400332300000000000000000000000000000000045 -S315400332400000000000000000000000000000000035 -S315400332500000000000000000000000000000000025 -S315400332600000000000000000000000000000000015 -S315400332700000000000000000000000000000000005 -S3154003328000000000000000000000000000000000F5 -S3154003329000000000000000000000000000000000E5 -S315400332A000000000000000000000000000000000D5 -S315400332B000000000000000000000000000000000C5 -S315400332C000000000000000000000000000000000B5 -S315400332D000000000000000000000000000000000A5 -S315400332E00000000000000000000000000000000095 -S315400332F00000000000000000000000000000000085 -S315400333000000000000000000000000000000000074 -S315400333100000000000000000000000000000000064 -S315400333200000000000000000000000000000000054 -S315400333300000000000000000000000000000000044 -S315400333400000000000000000000000000000000034 -S315400333500000000000000000000000000000000024 -S315400333600000000000000000000000000000000014 -S315400333700000000000000000000000000000000004 -S3154003338000000000000000000000000000000000F4 -S3154003339000000000000000000000000000000000E4 -S315400333A000000000000000000000000000000000D4 -S315400333B000000000000000000000000000000000C4 -S315400333C000000000000000000000000000000000B4 -S315400333D000000000000000000000000000000000A4 -S315400333E00000000000000000000000000000000094 -S315400333F00000000000000000000000000000000084 -S315400334000000000000000000000000000000000073 -S315400334100000000000000000000000000000000063 -S315400334200000000000000000000000000000000053 -S315400334300000000000000000000000000000000043 -S315400334400000000000000000000000000000000033 -S315400334500000000000000000000000000000000023 -S315400334600000000000000000000000000000000013 -S315400334700000000000000000000000000000000003 -S3154003348000000000000000000000000000000000F3 -S3154003349000000000000000000000000000000000E3 -S315400334A000000000000000000000000000000000D3 -S315400334B000000000000000000000000000000000C3 -S315400334C000000000000000000000000000000000B3 -S315400334D000000000000000000000000000000000A3 -S315400334E00000000000000000000000000000000093 -S315400334F00000000000000000000000000000000083 -S315400335000000000000000000000000000000000072 -S315400335100000000000000000000000000000000062 -S315400335200000000000000000000000000000000052 -S315400335300000000000000000000000000000000042 -S315400335400000000000000000000000000000000032 -S315400335500000000000000000000000000000000022 -S315400335600000000000000000000000000000000012 -S315400335700000000000000000000000000000000002 -S3154003358000000000000000000000000000000000F2 -S3154003359000000000000000000000000000000000E2 -S315400335A000000000000000000000000000000000D2 -S315400335B000000000000000000000000000000000C2 -S315400335C000000000000000000000000000000000B2 -S315400335D000000000000000000000000000000000A2 -S315400335E00000000000000000000000000000000092 -S315400335F00000000000000000000000000000000082 -S315400336000000000000000000000000000000000071 -S315400336100000000000000000000000000000000061 -S315400336200000000000000000000000000000000051 -S315400336300000000000000000000000000000000041 -S315400336400000000000000000000000000000000031 -S315400336500000000000000000000000000000000021 -S315400336600000000000000000000000000000000011 -S315400336700000000000000000000000000000000001 -S3154003368000000000000000000000000000000000F1 -S3154003369000000000000000000000000000000000E1 -S315400336A000000000000000000000000000000000D1 -S315400336B000000000000000000000000000000000C1 -S315400336C000000000000000000000000000000000B1 -S315400336D000000000000000000000000000000000A1 -S315400336E00000000000000000000000000000000091 -S315400336F00000000000000000000000000000000081 -S315400337000000000000000000000000000000000070 -S315400337100000000000000000000000000000000060 -S315400337200000000000000000000000000000000050 -S315400337300000000000000000000000000000000040 -S315400337400000000000000000000000000000000030 -S315400337500000000000000000000000000000000020 -S315400337600000000000000000000000000000000010 -S315400337700000000000000000000000000000000000 -S3154003378000000000000000000000000000000000F0 -S3154003379000000000000000000000000000000000E0 -S315400337A000000000000000000000000000000000D0 -S315400337B000000000000000000000000000000000C0 -S315400337C000000000000000000000000000000000B0 -S315400337D000000000000000000000000000000000A0 -S315400337E00000000000000000000000000000000090 -S315400337F00000000000000000000000000000000080 -S31540033800000000000000000000000000000000006F -S31540033810000000000000000000000000000000005F -S31540033820000000000000000000000000000000004F -S31540033830000000000000000000000000000000003F -S31540033840000000000000000000000000000000002F -S31540033850000000000000000000000000000000001F -S31540033860000000000000000000000000000000000F -S3154003387000000000000000000000000000000000FF -S3154003388000000000000000000000000000000000EF -S3154003389000000000000000000000000000000000DF -S315400338A000000000000000000000000000000000CF -S315400338B000000000000000000000000000000000BF -S315400338C000000000000000000000000000000000AF -S315400338D0000000000000000000000000000000009F -S315400338E0000000000000000000000000000000008F -S315400338F0000000000000000000000000000000007F -S31540033900000000000000000000000000000000006E -S31540033910000000000000000000000000000000005E -S31540033920000000000000000000000000000000004E -S31540033930000000000000000000000000000000003E -S31540033940000000000000000000000000000000002E -S31540033950000000000000000000000000000000001E -S31540033960000000000000000000000000000000000E -S3154003397000000000000000000000000000000000FE -S3154003398000000000000000000000000000000000EE -S3154003399000000000000000000000000000000000DE -S315400339A000000000000000000000000000000000CE -S315400339B000000000000000000000000000000000BE -S315400339C000000000000000000000000000000000AE -S315400339D0000000000000000000000000000000009E -S315400339E0000000000000000000000000000000008E -S315400339F0000000000000000000000000000000007E -S31540033A00000000000000000000000000000000006D -S31540033A10000000000000000000000000000000005D -S31540033A20000000000000000000000000000000004D -S31540033A30000000000000000000000000000000003D -S31540033A40000000000000000000000000000000002D -S31540033A50000000000000000000000000000000001D -S31540033A60000000000000000000000000000000000D -S31540033A7000000000000000000000000000000000FD -S31540033A8000000000000000000000000000000000ED -S31540033A9000000000000000000000000000000000DD -S31540033AA000000000000000000000000000000000CD -S31540033AB000000000000000000000000000000000BD -S31540033AC000000000000000000000000000000000AD -S31540033AD0000000000000000000000000000000009D -S31540033AE0000000000000000000000000000000008D -S31540033AF0000000000000000000000000000000007D -S31540033B00000000000000000000000000000000006C -S31540033B10000000000000000000000000000000005C -S31540033B20000000000000000000000000000000004C -S31540033B30000000000000000000000000000000003C -S31540033B40000000000000000000000000000000002C -S31540033B50000000000000000000000000000000001C -S31540033B60000000000000000000000000000000000C -S31540033B7000000000000000000000000000000000FC -S31540033B8000000000000000000000000000000000EC -S31540033B9000000000000000000000000000000000DC -S31540033BA000000000000000000000000000000000CC -S31540033BB000000000000000000000000000000000BC -S31540033BC000000000000000000000000000000000AC -S31540033BD0000000000000000000000000000000009C -S31540033BE0000000000000000000000000000000008C -S31540033BF0000000000000000000000000000000007C -S31540033C00000000000000000000000000000000006B -S31540033C10000000000000000000000000000000005B -S31540033C20000000000000000000000000000000004B -S31540033C30000000000000000000000000000000003B -S31540033C40000000000000000000000000000000002B -S31540033C50000000000000000000000000000000001B -S31540033C60000000000000000000000000000000000B -S31540033C7000000000000000000000000000000000FB -S31540033C8000000000000000000000000000000000EB -S31540033C9000000000000000000000000000000000DB -S31540033CA000000000000000000000000000000000CB -S31540033CB000000000000000000000000000000000BB -S31540033CC000000000000000000000000000000000AB -S31540033CD0000000000000000000000000000000009B -S31540033CE0000000000000000000000000000000008B -S31540033CF0000000000000000000000000000000007B -S31540033D00000000000000000000000000000000006A -S31540033D10000000000000000000000000000000005A -S31540033D20000000000000000000000000000000004A -S31540033D30000000000000000000000000000000003A -S31540033D40000000000000000000000000000000002A -S31540033D50000000000000000000000000000000001A -S31540033D60000000000000000000000000000000000A -S31540033D7000000000000000000000000000000000FA -S31540033D8000000000000000000000000000000000EA -S31540033D9000000000000000000000000000000000DA -S31540033DA000000000000000000000000000000000CA -S31540033DB000000000000000000000000000000000BA -S31540033DC000000000000000000000000000000000AA -S31540033DD0000000000000000000000000000000009A -S31540033DE0000000000000000000000000000000008A -S31540033DF0000000000000000000000000000000007A -S31540033E000000000000000000000000000000000069 -S31540033E100000000000000000000000000000000059 -S31540033E200000000000000000000000000000000049 -S31540033E300000000000000000000000000000000039 -S31540033E400000000000000000000000000000000029 -S31540033E500000000000000000000000000000000019 -S31540033E600000000000000000000000000000000009 -S31540033E7000000000000000000000000000000000F9 -S31540033E8000000000000000000000000000000000E9 -S31540033E9000000000000000000000000000000000D9 -S31540033EA000000000000000000000000000000000C9 -S31540033EB000000000000000000000000000000000B9 -S31540033EC000000000000000000000000000000000A9 -S31540033ED00000000000000000000000000000000099 -S31540033EE00000000000000000000000000000000089 -S31540033EF00000000000000000000000000000000079 -S31540033F000000000000000000000000000000000068 -S31540033F100000000000000000000000000000000058 -S31540033F200000000000000000000000000000000048 -S31540033F300000000000000000000000000000000038 -S31540033F400000000000000000000000000000000028 -S31540033F500000000000000000000000000000000018 -S31540033F600000000000000000000000000000000008 -S31540033F7000000000000000000000000000000000F8 -S31540033F8000000000000000000000000000000000E8 -S31540033F9000000000000000000000000000000000D8 -S31540033FA000000000000000000000000000000000C8 -S31540033FB000000000000000000000000000000000B8 -S31540033FC000000000000000000000000000000000A8 -S31540033FD00000000000000000000000000000000098 -S31540033FE00000000000000000000000000000000088 -S31540033FF00000000000000000000000000000000078 -S315400340000000000000000000000000000000000067 -S315400340100000000000000000000000000000000057 -S315400340200000000000000000000000000000000047 -S315400340300000000000000000000000000000000037 -S315400340400000000000000000000000000000000027 -S315400340500000000000000000000000000000000017 -S315400340600000000000000000000000000000000007 -S3154003407000000000000000000000000000000000F7 -S3154003408000000000000000000000000000000000E7 -S3154003409000000000000000000000000000000000D7 -S315400340A000000000000000000000000000000000C7 -S315400340B000000000000000000000000000000000B7 -S315400340C000000000000000000000000000000000A7 -S315400340D00000000000000000000000000000000097 -S315400340E00000000000000000000000000000000087 -S315400340F00000000000000000000000000000000077 -S315400341000000000000000000000000000000000066 -S315400341100000000000000000000000000000000056 -S315400341200000000000000000000000000000000046 -S315400341300000000000000000000000000000000036 -S315400341400000000000000000000000000000000026 -S315400341500000000000000000000000000000000016 -S315400341600000000000000000000000000000000006 -S3154003417000000000000000000000000000000000F6 -S3154003418000000000000000000000000000000000E6 -S3154003419000000000000000000000000000000000D6 -S315400341A000000000000000000000000000000000C6 -S315400341B000000000000000000000000000000000B6 -S315400341C000000000000000000000000000000000A6 -S315400341D00000000000000000000000000000000096 -S315400341E00000000000000000000000000000000086 -S315400341F00000000000000000000000000000000076 -S315400342000000000000000000000000000000000065 -S315400342100000000000000000000000000000000055 -S315400342200000000000000000000000000000000045 -S315400342300000000000000000000000000000000035 -S315400342400000000000000000000000000000000025 -S315400342500000000000000000000000000000000015 -S315400342600000000000000000000000000000000005 -S3154003427000000000000000000000000000000000F5 -S3154003428000000000000000000000000000000000E5 -S3154003429000000000000000000000000000000000D5 -S315400342A000000000000000000000000000000000C5 -S315400342B000000000000000000000000000000000B5 -S315400342C000000000000000000000000000000000A5 -S315400342D00000000000000000000000000000000095 -S315400342E00000000000000000000000000000000085 -S315400342F00000000000000000000000000000000075 -S315400343000000000000000000000000000000000064 -S315400343100000000000000000000000000000000054 -S315400343200000000000000000000000000000000044 -S315400343300000000000000000000000000000000034 -S315400343400000000000000000000000000000000024 -S315400343500000000000000000000000000000000014 -S315400343600000000000000000000000000000000004 -S3154003437000000000000000000000000000000000F4 -S3154003438000000000000000000000000000000000E4 -S3154003439000000000000000000000000000000000D4 -S315400343A000000000000000000000000000000000C4 -S315400343B000000000000000000000000000000000B4 -S315400343C000000000000000000000000000000000A4 -S315400343D00000000000000000000000000000000094 -S315400343E00000000000000000000000000000000084 -S315400343F00000000000000000000000000000000074 -S315400344000000000000000000000000000000000063 -S315400344100000000000000000000000000000000053 -S315400344200000000000000000000000000000000043 -S315400344300000000000000000000000000000000033 -S315400344400000000000000000000000000000000023 -S315400344500000000000000000000000000000000013 -S315400344600000000000000000000000000000000003 -S3154003447000000000000000000000000000000000F3 -S3154003448000000000000000000000000000000000E3 -S3154003449000000000000000000000000000000000D3 -S315400344A000000000000000000000000000000000C3 -S315400344B000000000000000000000000000000000B3 -S315400344C000000000000000000000000000000000A3 -S315400344D00000000000000000000000000000000093 -S315400344E00000000000000000000000000000000083 -S315400344F00000000000000000000000000000000073 -S315400345000000000000000000000000000000000062 -S315400345100000000000000000000000000000000052 -S315400345200000000000000000000000000000000042 -S315400345300000000000000000000000000000000032 -S315400345400000000000000000000000000000000022 -S315400345500000000000000000000000000000000012 -S315400345600000000000000000000000000000000002 -S3154003457000000000000000000000000000000000F2 -S3154003458000000000000000000000000000000000E2 -S3154003459000000000000000000000000000000000D2 -S315400345A000000000000000000000000000000000C2 -S315400345B000000000000000000000000000000000B2 -S315400345C000000000000000000000000000000000A2 -S315400345D00000000000000000000000000000000092 -S315400345E00000000000000000000000000000000082 -S315400345F00000000000000000000000000000000072 -S315400346000000000000000000000000000000000061 -S315400346100000000000000000000000000000000051 -S315400346200000000000000000000000000000000041 -S315400346300000000000000000000000000000000031 -S315400346400000000000000000000000000000000021 -S315400346500000000000000000000000000000000011 -S315400346600000000000000000000000000000000001 -S3154003467000000000000000000000000000000000F1 -S3154003468000000000000000000000000000000000E1 -S3154003469000000000000000000000000000000000D1 -S315400346A000000000000000000000000000000000C1 -S315400346B000000000000000000000000000000000B1 -S315400346C000000000000000000000000000000000A1 -S315400346D00000000000000000000000000000000091 -S315400346E00000000000000000000000000000000081 -S315400346F00000000000000000000000000000000071 -S315400347000000000000000000000000000000000060 -S315400347100000000000000000000000000000000050 -S315400347200000000000000000000000000000000040 -S315400347300000000000000000000000000000000030 -S315400347400000000000000000000000000000000020 -S315400347500000000000000000000000000000000010 -S315400347600000000000000000000000000000000000 -S3154003477000000000000000000000000000000000F0 -S3154003478000000000000000000000000000000000E0 -S3154003479000000000000000000000000000000000D0 -S315400347A000000000000000000000000000000000C0 -S315400347B000000000000000000000000000000000B0 -S315400347C000000000000000000000000000000000A0 -S315400347D00000000000000000000000000000000090 -S315400347E00000000000000000000000000000000080 -S315400347F00000000000000000000000000000000070 -S31540034800000000000000000000000000000000005F -S31540034810000000000000000000000000000000004F -S31540034820000000000000000000000000000000003F -S31540034830000000000000000000000000000000002F -S31540034840000000000000000000000000000000001F -S31540034850000000000000000000000000000000000F -S3154003486000000000000000000000000000000000FF -S3154003487000000000000000000000000000000000EF -S3154003488000000000000000000000000000000000DF -S3154003489000000000000000000000000000000000CF -S315400348A000000000000000000000000000000000BF -S315400348B000000000000000000000000000000000AF -S315400348C0000000000000000000000000000000009F -S315400348D0000000000000000000000000000000008F -S315400348E0000000000000000000000000000000007F -S315400348F0000000000000000000000000000000006F -S31540034900000000000000000000000000000000005E -S31540034910000000000000000000000000000000004E -S31540034920000000000000000000000000000000003E -S31540034930000000000000000000000000000000002E -S31540034940000000000000000000000000000000001E -S31540034950000000000000000000000000000000000E -S3154003496000000000000000000000000000000000FE -S3154003497000000000000000000000000000000000EE -S3154003498000000000000000000000000000000000DE -S3154003499000000000000000000000000000000000CE -S315400349A000000000000000000000000000000000BE -S315400349B000000000000000000000000000000000AE -S315400349C0000000000000000000000000000000009E -S315400349D0000000000000000000000000000000008E -S315400349E0000000000000000000000000000000007E -S315400349F0000000000000000000000000000000006E -S31540034A00000000000000000000000000000000005D -S31540034A10000000000000000000000000000000004D -S31540034A20000000000000000000000000000000003D -S31540034A30000000000000000000000000000000002D -S31540034A40000000000000000000000000000000001D -S31540034A50000000000000000000000000000000000D -S31540034A6000000000000000000000000000000000FD -S31540034A7000000000000000000000000000000000ED -S31540034A8000000000000000000000000000000000DD -S31540034A9000000000000000000000000000000000CD -S31540034AA000000000000000000000000000000000BD -S31540034AB000000000000000000000000000000000AD -S31540034AC0000000000000000000000000000000009D -S31540034AD0000000000000000000000000000000008D -S31540034AE0000000000000000000000000000000007D -S31540034AF0000000000000000000000000000000006D -S31540034B00000000000000000000000000000000005C -S31540034B10000000000000000000000000000000004C -S31540034B20000000000000000000000000000000003C -S31540034B30000000000000000000000000000000002C -S31540034B40000000000000000000000000000000001C -S31540034B50000000000000000000000000000000000C -S31540034B6000000000000000000000000000000000FC -S31540034B7000000000000000000000000000000000EC -S31540034B8000000000000000000000000000000000DC -S31540034B9000000000000000000000000000000000CC -S31540034BA000000000000000000000000000000000BC -S31540034BB000000000000000000000000000000000AC -S31540034BC0000000000000000000000000000000009C -S31540034BD0000000000000000000000000000000008C -S31540034BE0000000000000000000000000000000007C -S31540034BF0000000000000000000000000000000006C -S31540034C00000000000000000000000000000000005B -S31540034C10000000000000000000000000000000004B -S31540034C20000000000000000000000000000000003B -S31540034C30000000000000000000000000000000002B -S31540034C40000000000000000000000000000000001B -S31540034C50000000000000000000000000000000000B -S31540034C6000000000000000000000000000000000FB -S31540034C7000000000000000000000000000000000EB -S31540034C8000000000000000000000000000000000DB -S31540034C9000000000000000000000000000000000CB -S31540034CA000000000000000000000000000000000BB -S31540034CB000000000000000000000000000000000AB -S31540034CC0000000000000000000000000000000009B -S31540034CD0000000000000000000000000000000008B -S31540034CE0000000000000000000000000000000007B -S31540034CF0000000000000000000000000000000006B -S31540034D00000000000000000000000000000000005A -S31540034D10000000000000000000000000000000004A -S31540034D20000000000000000000000000000000003A -S31540034D30000000000000000000000000000000002A -S31540034D40000000000000000000000000000000001A -S31540034D50000000000000000000000000000000000A -S31540034D6000000000000000000000000000000000FA -S31540034D7000000000000000000000000000000000EA -S31540034D8000000000000000000000000000000000DA -S31540034D9000000000000000000000000000000000CA -S31540034DA000000000000000000000000000000000BA -S31540034DB000000000000000000000000000000000AA -S31540034DC0000000000000000000000000000000009A -S31540034DD0000000000000000000000000000000008A -S31540034DE0000000000000000000000000000000007A -S31540034DF0000000000000000000000000000000006A -S31540034E000000000000000000000000000000000059 -S31540034E100000000000000000000000000000000049 -S31540034E200000000000000000000000000000000039 -S31540034E300000000000000000000000000000000029 -S31540034E400000000000000000000000000000000019 -S31540034E500000000000000000000000000000000009 -S31540034E6000000000000000000000000000000000F9 -S31540034E7000000000000000000000000000000000E9 -S31540034E8000000000000000000000000000000000D9 -S31540034E9000000000000000000000000000000000C9 -S31540034EA000000000000000000000000000000000B9 -S31540034EB000000000000000000000000000000000A9 -S31540034EC00000000000000000000000000000000099 -S31540034ED00000000000000000000000000000000089 -S31540034EE00000000000000000000000000000000079 -S31540034EF00000000000000000000000000000000069 -S31540034F000000000000000000000000000000000058 -S31540034F100000000000000000000000000000000048 -S31540034F200000000000000000000000000000000038 -S31540034F300000000000000000000000000000000028 -S31540034F400000000000000000000000000000000018 -S31540034F500000000000000000000000000000000008 -S31540034F6000000000000000000000000000000000F8 -S31540034F7000000000000000000000000000000000E8 -S31540034F8000000000000000000000000000000000D8 -S31540034F9000000000000000000000000000000000C8 -S31540034FA000000000000000000000000000000000B8 -S31540034FB000000000000000000000000000000000A8 -S31540034FC00000000000000000000000000000000098 -S31540034FD00000000000000000000000000000000088 -S31540034FE00000000000000000000000000000000078 -S31540034FF00000000000000000000000000000000068 -S315400350000000000000000000000000000000000057 -S315400350100000000000000000000000000000000047 -S315400350200000000000000000000000000000000037 -S315400350300000000000000000000000000000000027 -S315400350400000000000000000000000000000000017 -S315400350500000000000000000000000000000000007 -S3154003506000000000000000000000000000000000F7 -S3154003507000000000000000000000000000000000E7 -S3154003508000000000000000000000000000000000D7 -S3154003509000000000000000000000000000000000C7 -S315400350A000000000000000000000000000000000B7 -S315400350B000000000000000000000000000000000A7 -S315400350C00000000000000000000000000000000097 -S315400350D00000000000000000000000000000000087 -S315400350E00000000000000000000000000000000077 -S315400350F00000000000000000000000000000000067 -S315400351000000000000000000000000000000000056 -S315400351100000000000000000000000000000000046 -S315400351200000000000000000000000000000000036 -S315400351300000000000000000000000000000000026 -S315400351400000000000000000000000000000000016 -S315400351500000000000000000000000000000000006 -S3154003516000000000000000000000000000000000F6 -S3154003517000000000000000000000000000000000E6 -S3154003518000000000000000000000000000000000D6 -S3154003519000000000000000000000000000000000C6 -S315400351A000000000000000000000000000000000B6 -S315400351B000000000000000000000000000000000A6 -S315400351C00000000000000000000000000000000096 -S315400351D00000000000000000000000000000000086 -S315400351E00000000000000000000000000000000076 -S315400351F00000000000000000000000000000000066 -S315400352000000000000000000000000000000000055 -S315400352100000000000000000000000000000000045 -S315400352200000000000000000000000000000000035 -S315400352300000000000000000000000000000000025 -S315400352400000000000000000000000000000000015 -S315400352500000000000000000000000000000000005 -S3154003526000000000000000000000000000000000F5 -S3154003527000000000000000000000000000000000E5 -S3154003528000000000000000000000000000000000D5 -S3154003529000000000000000000000000000000000C5 -S315400352A000000000000000000000000000000000B5 -S315400352B000000000000000000000000000000000A5 -S315400352C00000000000000000000000000000000095 -S315400352D00000000000000000000000000000000085 -S315400352E00000000000000000000000000000000075 -S315400352F00000000000000000000000000000000065 -S315400353000000000000000000000000000000000054 -S315400353100000000000000000000000000000000044 -S315400353200000000000000000000000000000000034 -S315400353300000000000000000000000000000000024 -S315400353400000000000000000000000000000000014 -S315400353500000000000000000000000000000000004 -S3154003536000000000000000000000000000000000F4 -S3154003537000000000000000000000000000000000E4 -S3154003538000000000000000000000000000000000D4 -S3154003539000000000000000000000000000000000C4 -S315400353A000000000000000000000000000000000B4 -S315400353B000000000000000000000000000000000A4 -S315400353C00000000000000000000000000000000094 -S315400353D00000000000000000000000000000000084 -S315400353E00000000000000000000000000000000074 -S315400353F00000000000000000000000000000000064 -S315400354000000000000000000000000000000000053 -S315400354100000000000000000000000000000000043 -S315400354200000000000000000000000000000000033 -S315400354300000000000000000000000000000000023 -S315400354400000000000000000000000000000000013 -S315400354500000000000000000000000000000000003 -S3154003546000000000000000000000000000000000F3 -S3154003547000000000000000000000000000000000E3 -S3154003548000000000000000000000000000000000D3 -S3154003549000000000000000000000000000000000C3 -S315400354A000000000000000000000000000000000B3 -S315400354B000000000000000000000000000000000A3 -S315400354C00000000000000000000000000000000093 -S315400354D00000000000000000000000000000000083 -S315400354E00000000000000000000000000000000073 -S315400354F00000000000000000000000000000000063 -S315400355000000000000000000000000000000000052 -S315400355100000000000000000000000000000000042 -S315400355200000000000000000000000000000000032 -S315400355300000000000000000000000000000000022 -S315400355400000000000000000000000000000000012 -S315400355500000000000000000000000000000000002 -S3154003556000000000000000000000000000000000F2 -S3154003557000000000000000000000000000000000E2 -S3154003558000000000000000000000000000000000D2 -S3154003559000000000000000000000000000000000C2 -S315400355A000000000000000000000000000000000B2 -S315400355B000000000000000000000000000000000A2 -S315400355C00000000000000000000000000000000092 -S315400355D00000000000000000000000000000000082 -S315400355E00000000000000000000000000000000072 -S315400355F00000000000000000000000000000000062 -S315400356000000000000000000000000000000000051 -S315400356100000000000000000000000000000000041 -S315400356200000000000000000000000000000000031 -S315400356300000000000000000000000000000000021 -S315400356400000000000000000000000000000000011 -S315400356500000000000000000000000000000000001 -S3154003566000000000000000000000000000000000F1 -S3154003567000000000000000000000000000000000E1 -S3154003568000000000000000000000000000000000D1 -S3154003569000000000000000000000000000000000C1 -S315400356A000000000000000000000000000000000B1 -S315400356B000000000000000000000000000000000A1 -S315400356C00000000000000000000000000000000091 -S315400356D00000000000000000000000000000000081 -S315400356E00000000000000000000000000000000071 -S315400356F00000000000000000000000000000000061 -S315400357000000000000000000000000000000000050 -S315400357100000000000000000000000000000000040 -S315400357200000000000000000000000000000000030 -S315400357300000000000000000000000000000000020 -S315400357400000000000000000000000000000000010 -S315400357500000000000000000000000000000000000 -S3154003576000000000000000000000000000000000F0 -S3154003577000000000000000000000000000000000E0 -S3154003578000000000000000000000000000000000D0 -S3154003579000000000000000000000000000000000C0 -S315400357A000000000000000000000000000000000B0 -S315400357B000000000000000000000000000000000A0 -S315400357C00000000000000000000000000000000090 -S315400357D00000000000000000000000000000000080 -S315400357E00000000000000000000000000000000070 -S315400357F00000000000000000000000000000000060 -S31540035800000000000000000000000000000000004F -S31540035810000000000000000000000000000000003F -S31540035820000000000000000000000000000000002F -S31540035830000000000000000000000000000000001F -S31540035840000000000000000000000000000000000F -S3154003585000000000000000000000000000000000FF -S3154003586000000000000000000000000000000000EF -S3154003587000000000000000000000000000000000DF -S3154003588000000000000000000000000000000000CF -S3154003589000000000000000000000000000000000BF -S315400358A000000000000000000000000000000000AF -S315400358B0000000000000000000000000000000009F -S315400358C0000000000000000000000000000000008F -S315400358D0000000000000000000000000000000007F -S315400358E0000000000000000000000000000000006F -S315400358F0000000000000000000000000000000005F -S31540035900000000000000000000000000000000004E -S31540035910000000000000000000000000000000003E -S31540035920000000000000000000000000000000002E -S31540035930000000000000000000000000000000001E -S31540035940000000000000000000000000000000000E -S3154003595000000000000000000000000000000000FE -S3154003596000000000000000000000000000000000EE -S3154003597000000000000000000000000000000000DE -S3154003598000000000000000000000000000000000CE -S3154003599000000000000000000000000000000000BE -S315400359A000000000000000000000000000000000AE -S315400359B0000000000000000000000000000000009E -S315400359C0000000000000000000000000000000008E -S315400359D0000000000000000000000000000000007E -S315400359E0000000000000000000000000000000006E -S315400359F0000000000000000000000000000000005E -S31540035A00000000000000000000000000000000004D -S31540035A10000000000000000000000000000000003D -S31540035A20000000000000000000000000000000002D -S31540035A30000000000000000000000000000000001D -S31540035A40000000000000000000000000000000000D -S31540035A5000000000000000000000000000000000FD -S31540035A6000000000000000000000000000000000ED -S31540035A7000000000000000000000000000000000DD -S31540035A8000000000000000000000000000000000CD -S31540035A9000000000000000000000000000000000BD -S31540035AA000000000000000000000000000000000AD -S31540035AB0000000000000000000000000000000009D -S31540035AC0000000000000000000000000000000008D -S31540035AD0000000000000000000000000000000007D -S31540035AE0000000000000000000000000000000006D -S31540035AF0000000000000000000000000000000005D -S31540035B00000000000000000000000000000000004C -S31540035B10000000000000000000000000000000003C -S31540035B20000000000000000000000000000000002C -S31540035B30000000000000000000000000000000001C -S31540035B40000000000000000000000000000000000C -S31540035B5000000000000000000000000000000000FC -S31540035B6000000000000000000000000000000000EC -S31540035B7000000000000000000000000000000000DC -S31540035B8000000000000000000000000000000000CC -S31540035B9000000000000000000000000000000000BC -S31540035BA000000000000000000000000000000000AC -S31540035BB0000000000000000000000000000000009C -S31540035BC0000000000000000000000000000000008C -S31540035BD0000000000000000000000000000000007C -S31540035BE0000000000000000000000000000000006C -S31540035BF0000000000000000000000000000000005C -S31540035C00000000000000000000000000000000004B -S31540035C10000000000000000000000000000000003B -S31540035C20000000000000000000000000000000002B -S31540035C30000000000000000000000000000000001B -S31540035C40000000000000000000000000000000000B -S31540035C5000000000000000000000000000000000FB -S31540035C6000000000000000000000000000000000EB -S31540035C7000000000000000000000000000000000DB -S31540035C8000000000000000000000000000000000CB -S31540035C9000000000000000000000000000000000BB -S31540035CA000000000000000000000000000000000AB -S31540035CB0000000000000000000000000000000009B -S31540035CC0000000000000000000000000000000008B -S31540035CD0000000000000000000000000000000007B -S31540035CE0000000000000000000000000000000006B -S31540035CF0000000000000000000000000000000005B -S31540035D00000000000000000000000000000000004A -S31540035D10000000000000000000000000000000003A -S31540035D20000000000000000000000000000000002A -S31540035D30000000000000000000000000000000001A -S31540035D40000000000000000000000000000000000A -S31540035D5000000000000000000000000000000000FA -S31540035D6000000000000000000000000000000000EA -S31540035D7000000000000000000000000000000000DA -S31540035D8000000000000000000000000000000000CA -S31540035D9000000000000000000000000000000000BA -S31540035DA000000000000000000000000000000000AA -S31540035DB0000000000000000000000000000000009A -S31540035DC0000000000000000000000000000000008A -S31540035DD0000000000000000000000000000000007A -S31540035DE0000000000000000000000000000000006A -S31540035DF0000000000000000000000000000000005A -S31540035E000000000000000000000000000000000049 -S31540035E100000000000000000000000000000000039 -S31540035E200000000000000000000000000000000029 -S31540035E300000000000000000000000000000000019 -S31540035E400000000000000000000000000000000009 -S31540035E5000000000000000000000000000000000F9 -S31540035E6000000000000000000000000000000000E9 -S31540035E7000000000000000000000000000000000D9 -S31540035E8000000000000000000000000000000000C9 -S31540035E9000000000000000000000000000000000B9 -S31540035EA000000000000000000000000000000000A9 -S31540035EB00000000000000000000000000000000099 -S31540035EC00000000000000000000000000000000089 -S31540035ED00000000000000000000000000000000079 -S31540035EE00000000000000000000000000000000069 -S31540035EF00000000000000000000000000000000059 -S31540035F000000000000000000000000000000000048 -S31540035F100000000000000000000000000000000038 -S31540035F200000000000000000000000000000000028 -S31540035F300000000000000000000000000000000018 -S31540035F400000000000000000000000000000000008 -S31540035F5000000000000000000000000000000000F8 -S31540035F6000000000000000000000000000000000E8 -S31540035F7000000000000000000000000000000000D8 -S31540035F8000000000000000000000000000000000C8 -S31540035F9000000000000000000000000000000000B8 -S31540035FA000000000000000000000000000000000A8 -S31540035FB00000000000000000000000000000000098 -S31540035FC00000000000000000000000000000000088 -S31540035FD00000000000000000000000000000000078 -S31540035FE00000000000000000000000000000000068 -S31540035FF00000000000000000000000000000000058 -S315400360000000000000000000000000000000000047 -S315400360100000000000000000000000000000000037 -S315400360200000000000000000000000000000000027 -S315400360300000000000000000000000000000000017 -S315400360400000000000000000000000000000000007 -S3154003605000000000000000000000000000000000F7 -S3154003606000000000000000000000000000000000E7 -S3154003607000000000000000000000000000000000D7 -S3154003608000000000000000000000000000000000C7 -S3154003609000000000000000000000000000000000B7 -S315400360A000000000000000000000000000000000A7 -S315400360B00000000000000000000000000000000097 -S315400360C00000000000000000000000000000000087 -S315400360D00000000000000000000000000000000077 -S315400360E00000000000000000000000000000000067 -S315400360F00000000000000000000000000000000057 -S315400361000000000000000000000000000000000046 -S315400361100000000000000000000000000000000036 -S315400361200000000000000000000000000000000026 -S315400361300000000000000000000000000000000016 -S315400361400000000000000000000000000000000006 -S3154003615000000000000000000000000000000000F6 -S3154003616000000000000000000000000000000000E6 -S3154003617000000000000000000000000000000000D6 -S3154003618000000000000000000000000000000000C6 -S3154003619000000000000000000000000000000000B6 -S315400361A000000000000000000000000000000000A6 -S315400361B00000000000000000000000000000000096 -S315400361C00000000000000000000000000000000086 -S315400361D00000000000000000000000000000000076 -S315400361E00000000000000000000000000000000066 -S315400361F00000000000000000000000000000000056 -S315400362000000000000000000000000000000000045 -S315400362100000000000000000000000000000000035 -S315400362200000000000000000000000000000000025 -S315400362300000000000000000000000000000000015 -S315400362400000000000000000000000000000000005 -S3154003625000000000000000000000000000000000F5 -S3154003626000000000000000000000000000000000E5 -S3154003627000000000000000000000000000000000D5 -S3154003628000000000000000000000000000000000C5 -S3154003629000000000000000000000000000000000B5 -S315400362A000000000000000000000000000000000A5 -S315400362B00000000000000000000000000000000095 -S315400362C00000000000000000000000000000000085 -S315400362D00000000000000000000000000000000075 -S315400362E00000000000000000000000000000000065 -S315400362F00000000000000000000000000000000055 -S315400363000000000000000000000000000000000044 -S315400363100000000000000000000000000000000034 -S315400363200000000000000000000000000000000024 -S315400363300000000000000000000000000000000014 -S315400363400000000000000000000000000000000004 -S3154003635000000000000000000000000000000000F4 -S3154003636000000000000000000000000000000000E4 -S3154003637000000000000000000000000000000000D4 -S3154003638000000000000000000000000000000000C4 -S3154003639000000000000000000000000000000000B4 -S315400363A000000000000000000000000000000000A4 -S315400363B00000000000000000000000000000000094 -S315400363C00000000000000000000000000000000084 -S315400363D00000000000000000000000000000000074 -S315400363E00000000000000000000000000000000064 -S315400363F00000000000000000000000000000000054 -S315400364000000000000000000000000000000000043 -S315400364100000000000000000000000000000000033 -S315400364200000000000000000000000000000000023 -S315400364300000000000000000000000000000000013 -S315400364400000000000000000000000000000000003 -S3154003645000000000000000000000000000000000F3 -S3154003646000000000000000000000000000000000E3 -S3154003647000000000000000000000000000000000D3 -S3154003648000000000000000000000000000000000C3 -S3154003649000000000000000000000000000000000B3 -S315400364A000000000000000000000000000000000A3 -S315400364B00000000000000000000000000000000093 -S315400364C00000000000000000000000000000000083 -S315400364D00000000000000000000000000000000073 -S315400364E00000000000000000000000000000000063 -S315400364F00000000000000000000000000000000053 -S315400365000000000000000000000000000000000042 -S315400365100000000000000000000000000000000032 -S315400365200000000000000000000000000000000022 -S315400365300000000000000000000000000000000012 -S315400365400000000000000000000000000000000002 -S3154003655000000000000000000000000000000000F2 -S3154003656000000000000000000000000000000000E2 -S3154003657000000000000000000000000000000000D2 -S3154003658000000000000000000000000000000000C2 -S3154003659000000000000000000000000000000000B2 -S315400365A000000000000000000000000000000000A2 -S315400365B00000000000000000000000000000000092 -S315400365C00000000000000000000000000000000082 -S315400365D00000000000000000000000000000000072 -S315400365E00000000000000000000000000000000062 -S315400365F00000000000000000000000000000000052 -S315400366000000000000000000000000000000000041 -S315400366100000000000000000000000000000000031 -S315400366200000000000000000000000000000000021 -S315400366300000000000000000000000000000000011 -S315400366400000000000000000000000000000000001 -S3154003665000000000000000000000000000000000F1 -S3154003666000000000000000000000000000000000E1 -S3154003667000000000000000000000000000000000D1 -S3154003668000000000000000000000000000000000C1 -S3154003669000000000000000000000000000000000B1 -S315400366A000000000000000000000000000000000A1 -S315400366B00000000000000000000000000000000091 -S315400366C00000000000000000000000000000000081 -S315400366D00000000000000000000000000000000071 -S315400366E00000000000000000000000000000000061 -S315400366F00000000000000000000000000000000051 -S315400367000000000000000000000000000000000040 -S315400367100000000000000000000000000000000030 -S315400367200000000000000000000000000000000020 -S315400367300000000000000000000000000000000010 -S315400367400000000000000000000000000000000000 -S3154003675000000000000000000000000000000000F0 -S3154003676000000000000000000000000000000000E0 -S3154003677000000000000000000000000000000000D0 -S3154003678000000000000000000000000000000000C0 -S3154003679000000000000000000000000000000000B0 -S315400367A000000000000000000000000000000000A0 -S315400367B00000000000000000000000000000000090 -S315400367C00000000000000000000000000000000080 -S315400367D00000000000000000000000000000000070 -S315400367E00000000000000000000000000000000060 -S315400367F00000000000000000000000000000000050 -S31540036800000000000000000000000000000000003F -S31540036810000000000000000000000000000000002F -S31540036820000000000000000000000000000000001F -S31540036830000000000000000000000000000000000F -S3154003684000000000000000000000000000000000FF -S3154003685000000000000000000000000000000000EF -S3154003686000000000000000000000000000000000DF -S3154003687000000000000000000000000000000000CF -S3154003688000000000000000000000000000000000BF -S3154003689000000000000000000000000000000000AF -S315400368A0000000000000000000000000000000009F -S315400368B0000000000000000000000000000000008F -S315400368C0000000000000000000000000000000007F -S315400368D0000000000000000000000000000000006F -S315400368E0000000000000000000000000000000005F -S315400368F0000000000000000000000000000000004F -S31540036900000000000000000000000000000000003E -S31540036910000000000000000000000000000000002E -S31540036920000000000000000000000000000000001E -S31540036930000000000000000000000000000000000E -S3154003694000000000000000000000000000000000FE -S3154003695000000000000000000000000000000000EE -S3154003696000000000000000000000000000000000DE -S3154003697000000000000000000000000000000000CE -S3154003698000000000000000000000000000000000BE -S3154003699000000000000000000000000000000000AE -S315400369A0000000000000000000000000000000009E -S315400369B0000000000000000000000000000000008E -S315400369C0000000000000000000000000000000007E -S315400369D0000000000000000000000000000000006E -S315400369E0000000000000000000000000000000005E -S315400369F0000000000000000000000000000000004E -S31540036A00000000000000000000000000000000003D -S31540036A10000000000000000000000000000000002D -S31540036A20000000000000000000000000000000001D -S31540036A30000000000000000000000000000000000D -S31540036A4000000000000000000000000000000000FD -S31540036A5000000000000000000000000000000000ED -S31540036A6000000000000000000000000000000000DD -S31540036A7000000000000000000000000000000000CD -S31540036A8000000000000000000000000000000000BD -S31540036A9000000000000000000000000000000000AD -S31540036AA0000000000000000000000000000000009D -S31540036AB0000000000000000000000000000000008D -S31540036AC0000000000000000000000000000000007D -S31540036AD0000000000000000000000000000000006D -S31540036AE0000000000000000000000000000000005D -S31540036AF0000000000000000000000000000000004D -S31540036B00000000000000000000000000000000003C -S31540036B10000000000000000000000000000000002C -S31540036B20000000000000000000000000000000001C -S31540036B30000000000000000000000000000000000C -S31540036B4000000000000000000000000000000000FC -S31540036B5000000000000000000000000000000000EC -S31540036B6000000000000000000000000000000000DC -S31540036B7000000000000000000000000000000000CC -S31540036B8000000000000000000000000000000000BC -S31540036B9000000000000000000000000000000000AC -S31540036BA0000000000000000000000000000000009C -S31540036BB0000000000000000000000000000000008C -S31540036BC0000000000000000000000000000000007C -S31540036BD0000000000000000000000000000000006C -S31540036BE0000000000000000000000000000000005C -S31540036BF0000000000000000000000000000000004C -S31540036C00000000000000000000000000000000003B -S31540036C10000000000000000000000000000000002B -S31540036C20000000000000000000000000000000001B -S31540036C30000000000000000000000000000000000B -S31540036C4000000000000000000000000000000000FB -S31540036C5000000000000000000000000000000000EB -S31540036C6000000000000000000000000000000000DB -S31540036C7000000000000000000000000000000000CB -S31540036C8000000000000000000000000000000000BB -S31540036C9000000000000000000000000000000000AB -S31540036CA0000000000000000000000000000000009B -S31540036CB0000000000000000000000000000000008B -S31540036CC0000000000000000000000000000000007B -S31540036CD0000000000000000000000000000000006B -S31540036CE0000000000000000000000000000000005B -S31540036CF0000000000000000000000000000000004B -S31540036D00000000000000000000000000000000003A -S31540036D10000000000000000000000000000000002A -S31540036D20000000000000000000000000000000001A -S31540036D30000000000000000000000000000000000A -S31540036D4000000000000000000000000000000000FA -S31540036D5000000000000000000000000000000000EA -S31540036D6000000000000000000000000000000000DA -S31540036D7000000000000000000000000000000000CA -S31540036D8000000000000000000000000000000000BA -S31540036D9000000000000000000000000000000000AA -S31540036DA0000000000000000000000000000000009A -S31540036DB0000000000000000000000000000000008A -S31540036DC0000000000000000000000000000000007A -S31540036DD0000000000000000000000000000000006A -S31540036DE0000000000000000000000000000000005A -S31540036DF0000000000000000000000000000000004A -S31540036E000000000000000000000000000000000039 -S31540036E100000000000000000000000000000000029 -S31540036E200000000000000000000000000000000019 -S31540036E300000000000000000000000000000000009 -S31540036E4000000000000000000000000000000000F9 -S31540036E5000000000000000000000000000000000E9 -S31540036E6000000000000000000000000000000000D9 -S31540036E7000000000000000000000000000000000C9 -S31540036E8000000000000000000000000000000000B9 -S31540036E9000000000000000000000000000000000A9 -S31540036EA00000000000000000000000000000000099 -S31540036EB00000000000000000000000000000000089 -S31540036EC00000000000000000000000000000000079 -S31540036ED00000000000000000000000000000000069 -S31540036EE00000000000000000000000000000000059 -S31540036EF00000000000000000000000000000000049 -S31540036F000000000000000000000000000000000038 -S31540036F100000000000000000000000000000000028 -S31540036F200000000000000000000000000000000018 -S31540036F300000000000000000000000000000000008 -S31540036F4000000000000000000000000000000000F8 -S31540036F5000000000000000000000000000000000E8 -S31540036F6000000000000000000000000000000000D8 -S31540036F7000000000000000000000000000000000C8 -S31540036F8000000000000000000000000000000000B8 -S31540036F9000000000000000000000000000000000A8 -S31540036FA00000000000000000000000000000000098 -S31540036FB00000000000000000000000000000000088 -S31540036FC00000000000000000000000000000000078 -S31540036FD00000000000000000000000000000000068 -S31540036FE00000000000000000000000000000000058 -S31540036FF00000000000000000000000000000000048 -S315400370000000000000000000000000000000000037 -S315400370100000000000000000000000000000000027 -S315400370200000000000000000000000000000000017 -S315400370300000000000000000000000000000000007 -S3154003704000000000000000000000000000000000F7 -S3154003705000000000000000000000000000000000E7 -S3154003706000000000000000000000000000000000D7 -S3154003707000000000000000000000000000000000C7 -S3154003708000000000000000000000000000000000B7 -S3154003709000000000000000000000000000000000A7 -S315400370A00000000000000000000000000000000097 -S315400370B00000000000000000000000000000000087 -S315400370C00000000000000000000000000000000077 -S315400370D00000000000000000000000000000000067 -S315400370E00000000000000000000000000000000057 -S315400370F00000000000000000000000000000000047 -S315400371000000000000000000000000000000000036 -S315400371100000000000000000000000000000000026 -S315400371200000000000000000000000000000000016 -S315400371300000000000000000000000000000000006 -S3154003714000000000000000000000000000000000F6 -S3154003715000000000000000000000000000000000E6 -S3154003716000000000000000000000000000000000D6 -S3154003717000000000000000000000000000000000C6 -S3154003718000000000000000000000000000000000B6 -S3154003719000000000000000000000000000000000A6 -S315400371A00000000000000000000000000000000096 -S315400371B00000000000000000000000000000000086 -S315400371C00000000000000000000000000000000076 -S315400371D00000000000000000000000000000000066 -S315400371E00000000000000000000000000000000056 -S315400371F00000000000000000000000000000000046 -S315400372000000000000000000000000000000000035 -S315400372100000000000000000000000000000000025 -S315400372200000000000000000000000000000000015 -S315400372300000000000000000000000000000000005 -S3154003724000000000000000000000000000000000F5 -S3154003725000000000000000000000000000000000E5 -S3154003726000000000000000000000000000000000D5 -S3154003727000000000000000000000000000000000C5 -S3154003728000000000000000000000000000000000B5 -S3154003729000000000000000000000000000000000A5 -S315400372A00000000000000000000000000000000095 -S315400372B00000000000000000000000000000000085 -S315400372C00000000000000000000000000000000075 -S315400372D00000000000000000000000000000000065 -S315400372E00000000000000000000000000000000055 -S315400372F00000000000000000000000000000000045 -S315400373000000000000000000000000000000000034 -S315400373100000000000000000000000000000000024 -S315400373200000000000000000000000000000000014 -S315400373300000000000000000000000000000000004 -S3154003734000000000000000000000000000000000F4 -S3154003735000000000000000000000000000000000E4 -S3154003736000000000000000000000000000000000D4 -S3154003737000000000000000000000000000000000C4 -S3154003738000000000000000000000000000000000B4 -S3154003739000000000000000000000000000000000A4 -S315400373A00000000000000000000000000000000094 -S315400373B00000000000000000000000000000000084 -S315400373C00000000000000000000000000000000074 -S315400373D00000000000000000000000000000000064 -S315400373E00000000000000000000000000000000054 -S315400373F00000000000000000000000000000000044 -S315400374000000000000000000000000000000000033 -S315400374100000000000000000000000000000000023 -S315400374200000000000000000000000000000000013 -S315400374300000000000000000000000000000000003 -S3154003744000000000000000000000000000000000F3 -S3154003745000000000000000000000000000000000E3 -S3154003746000000000000000000000000000000000D3 -S3154003747000000000000000000000000000000000C3 -S3154003748000000000000000000000000000000000B3 -S3154003749000000000000000000000000000000000A3 -S315400374A00000000000000000000000000000000093 -S315400374B00000000000000000000000000000000083 -S315400374C00000000000000000000000000000000073 -S315400374D00000000000000000000000000000000063 -S315400374E00000000000000000000000000000000053 -S315400374F00000000000000000000000000000000043 -S315400375000000000000000000000000000000000032 -S315400375100000000000000000000000000000000022 -S315400375200000000000000000000000000000000012 -S315400375300000000000000000000000000000000002 -S3154003754000000000000000000000000000000000F2 -S3154003755000000000000000000000000000000000E2 -S3154003756000000000000000000000000000000000D2 -S3154003757000000000000000000000000000000000C2 -S3154003758000000000000000000000000000000000B2 -S3154003759000000000000000000000000000000000A2 -S315400375A00000000000000000000000000000000092 -S315400375B00000000000000000000000000000000082 -S315400375C00000000000000000000000000000000072 -S315400375D00000000000000000000000000000000062 -S315400375E00000000000000000000000000000000052 -S315400375F00000000000000000000000000000000042 -S315400376000000000000000000000000000000000031 -S315400376100000000000000000000000000000000021 -S315400376200000000000000000000000000000000011 -S315400376300000000000000000000000000000000001 -S3154003764000000000000000000000000000000000F1 -S3154003765000000000000000000000000000000000E1 -S3154003766000000000000000000000000000000000D1 -S3154003767000000000000000000000000000000000C1 -S3154003768000000000000000000000000000000000B1 -S3154003769000000000000000000000000000000000A1 -S315400376A00000000000000000000000000000000091 -S315400376B00000000000000000000000000000000081 -S315400376C00000000000000000000000000000000071 -S315400376D00000000000000000000000000000000061 -S315400376E00000000000000000000000000000000051 -S315400376F00000000000000000000000000000000041 -S315400377000000000000000000000000000000000030 -S315400377100000000000000000000000000000000020 -S315400377200000000000000000000000000000000010 -S315400377300000000000000000000000000000000000 -S3154003774000000000000000000000000000000000F0 -S3154003775000000000000000000000000000000000E0 -S3154003776000000000000000000000000000000000D0 -S3154003777000000000000000000000000000000000C0 -S3154003778000000000000000000000000000000000B0 -S3154003779000000000000000000000000000000000A0 -S315400377A00000000000000000000000000000000090 -S315400377B00000000000000000000000000000000080 -S315400377C00000000000000000000000000000000070 -S315400377D00000000000000000000000000000000060 -S315400377E00000000000000000000000000000000050 -S315400377F00000000000000000000000000000000040 -S31540037800000000000000000000000000000000002F -S31540037810000000000000000000000000000000001F -S31540037820000000000000000000000000000000000F -S3154003783000000000000000000000000000000000FF -S3154003784000000000000000000000000000000000EF -S3154003785000000000000000000000000000000000DF -S3154003786000000000000000000000000000000000CF -S3154003787000000000000000000000000000000000BF -S3154003788000000000000000000000000000000000AF -S31540037890000000000000000000000000000000009F -S315400378A0000000000000000000000000000000008F -S315400378B0000000000000000000000000000000007F -S315400378C0000000000000000000000000000000006F -S315400378D0000000000000000000000000000000005F -S315400378E0000000000000000000000000000000004F -S315400378F0000000000000000000000000000000003F -S31540037900000000000000000000000000000000002E -S31540037910000000000000000000000000000000001E -S31540037920000000000000000000000000000000000E -S3154003793000000000000000000000000000000000FE -S3154003794000000000000000000000000000000000EE -S3154003795000000000000000000000000000000000DE -S3154003796000000000000000000000000000000000CE -S3154003797000000000000000000000000000000000BE -S3154003798000000000000000000000000000000000AE -S31540037990000000000000000000000000000000009E -S315400379A0000000000000000000000000000000008E -S315400379B0000000000000000000000000000000007E -S315400379C0000000000000000000000000000000006E -S315400379D0000000000000000000000000000000005E -S315400379E0000000000000000000000000000000004E -S315400379F0000000000000000000000000000000003E -S31540037A00000000000000000000000000000000002D -S31540037A10000000000000000000000000000000001D -S31540037A20000000000000000000000000000000000D -S31540037A3000000000000000000000000000000000FD -S31540037A4000000000000000000000000000000000ED -S31540037A5000000000000000000000000000000000DD -S31540037A6000000000000000000000000000000000CD -S31540037A7000000000000000000000000000000000BD -S31540037A8000000000000000000000000000000000AD -S31540037A90000000000000000000000000000000009D -S31540037AA0000000000000000000000000000000008D -S31540037AB0000000000000000000000000000000007D -S31540037AC0000000000000000000000000000000006D -S31540037AD0000000000000000000000000000000005D -S31540037AE0000000000000000000000000000000004D -S31540037AF0000000000000000000000000000000003D -S31540037B00000000000000000000000000000000002C -S31540037B10000000000000000000000000000000001C -S31540037B20000000000000000000000000000000000C -S31540037B3000000000000000000000000000000000FC -S31540037B4000000000000000000000000000000000EC -S31540037B5000000000000000000000000000000000DC -S31540037B6000000000000000000000000000000000CC -S31540037B7000000000000000000000000000000000BC -S31540037B8000000000000000000000000000000000AC -S31540037B90000000000000000000000000000000009C -S31540037BA0000000000000000000000000000000008C -S31540037BB0000000000000000000000000000000007C -S31540037BC0000000000000000000000000000000006C -S31540037BD0000000000000000000000000000000005C -S31540037BE0000000000000000000000000000000004C -S31540037BF0000000000000000000000000000000003C -S31540037C00000000000000000000000000000000002B -S31540037C10000000000000000000000000000000001B -S31540037C20000000000000000000000000000000000B -S31540037C3000000000000000000000000000000000FB -S31540037C4000000000000000000000000000000000EB -S31540037C5000000000000000000000000000000000DB -S31540037C6000000000000000000000000000000000CB -S31540037C7000000000000000000000000000000000BB -S31540037C8000000000000000000000000000000000AB -S31540037C90000000000000000000000000000000009B -S31540037CA0000000000000000000000000000000008B -S31540037CB0000000000000000000000000000000007B -S31540037CC0000000000000000000000000000000006B -S31540037CD0000000000000000000000000000000005B -S31540037CE0000000000000000000000000000000004B -S31540037CF0000000000000000000000000000000003B -S31540037D00000000000000000000000000000000002A -S31540037D10000000000000000000000000000000001A -S31540037D20000000000000000000000000000000000A -S31540037D3000000000000000000000000000000000FA -S31540037D4000000000000000000000000000000000EA -S31540037D5000000000000000000000000000000000DA -S31540037D6000000000000000000000000000000000CA -S31540037D7000000000000000000000000000000000BA -S31540037D8000000000000000000000000000000000AA -S31540037D90000000000000000000000000000000009A -S31540037DA0000000000000000000000000000000008A -S31540037DB0000000000000000000000000000000007A -S31540037DC0000000000000000000000000000000006A -S31540037DD0000000000000000000000000000000005A -S31540037DE0000000000000000000000000000000004A -S31540037DF0000000000000000000000000000000003A -S31540037E000000000000000000000000000000000029 -S31540037E100000000000000000000000000000000019 -S31540037E200000000000000000000000000000000009 -S31540037E3000000000000000000000000000000000F9 -S31540037E4000000000000000000000000000000000E9 -S31540037E5000000000000000000000000000000000D9 -S31540037E6000000000000000000000000000000000C9 -S31540037E7000000000000000000000000000000000B9 -S31540037E8000000000000000000000000000000000A9 -S31540037E900000000000000000000000000000000099 -S31540037EA00000000000000000000000000000000089 -S31540037EB00000000000000000000000000000000079 -S31540037EC00000000000000000000000000000000069 -S31540037ED00000000000000000000000000000000059 -S31540037EE00000000000000000000000000000000049 -S31540037EF00000000000000000000000000000000039 -S31540037F000000000000000000000000000000000028 -S31540037F100000000000000000000000000000000018 -S31540037F200000000000000000000000000000000008 -S31540037F3000000000000000000000000000000000F8 -S31540037F4000000000000000000000000000000000E8 -S31540037F5000000000000000000000000000000000D8 -S31540037F6000000000000000000000000000000000C8 -S31540037F7000000000000000000000000000000000B8 -S31540037F8000000000000000000000000000000000A8 -S31540037F900000000000000000000000000000000098 -S31540037FA00000000000000000000000000000000088 -S31540037FB00000000000000000000000000000000078 -S31540037FC00000000000000000000000000000000068 -S31540037FD00000000000000000000000000000000058 -S31540037FE00000000000000000000000000000000048 -S31540037FF00000000000000000000000000000000038 -S315400380000000000000000000000000000000000027 -S315400380100000000000000000000000000000000017 -S315400380200000000000000000000000000000000007 -S3154003803000000000000000000000000000000000F7 -S3154003804000000000000000000000000000000000E7 -S3154003805000000000000000000000000000000000D7 -S3154003806000000000000000000000000000000000C7 -S3154003807000000000000000000000000000000000B7 -S3154003808000000000000000000000000000000000A7 -S315400380900000000000000000000000000000000097 -S315400380A00000000000000000000000000000000087 -S315400380B00000000000000000000000000000000077 -S315400380C00000000000000000000000000000000067 -S315400380D00000000000000000000000000000000057 -S315400380E00000000000000000000000000000000047 -S315400380F00000000000000000000000000000000037 -S315400381000000000000000000000000000000000026 -S315400381100000000000000000000000000000000016 -S315400381200000000000000000000000000000000006 -S3154003813000000000000000000000000000000000F6 -S3154003814000000000000000000000000000000000E6 -S3154003815000000000000000000000000000000000D6 -S3154003816000000000000000000000000000000000C6 -S3154003817000000000000000000000000000000000B6 -S3154003818000000000000000000000000000000000A6 -S315400381900000000000000000000000000000000096 -S315400381A00000000000000000000000000000000086 -S315400381B00000000000000000000000000000000076 -S315400381C00000000000000000000000000000000066 -S315400381D00000000000000000000000000000000056 -S315400381E00000000000000000000000000000000046 -S315400381F00000000000000000000000000000000036 -S315400382000000000000000000000000000000000025 -S315400382100000000000000000000000000000000015 -S315400382200000000000000000000000000000000005 -S3154003823000000000000000000000000000000000F5 -S3154003824000000000000000000000000000000000E5 -S3154003825000000000000000000000000000000000D5 -S3154003826000000000000000000000000000000000C5 -S3154003827000000000000000000000000000000000B5 -S3154003828000000000000000000000000000000000A5 -S315400382900000000000000000000000000000000095 -S315400382A00000000000000000000000000000000085 -S315400382B00000000000000000000000000000000075 -S315400382C00000000000000000000000000000000065 -S315400382D00000000000000000000000000000000055 -S315400382E00000000000000000000000000000000045 -S315400382F00000000000000000000000000000000035 -S315400383000000000000000000000000000000000024 -S315400383100000000000000000000000000000000014 -S315400383200000000000000000000000000000000004 -S3154003833000000000000000000000000000000000F4 -S3154003834000000000000000000000000000000000E4 -S3154003835000000000000000000000000000000000D4 -S3154003836000000000000000000000000000000000C4 -S3154003837000000000000000000000000000000000B4 -S3154003838000000000000000000000000000000000A4 -S315400383900000000000000000000000000000000094 -S315400383A00000000000000000000000000000000084 -S315400383B00000000000000000000000000000000074 -S315400383C00000000000000000000000000000000064 -S315400383D00000000000000000000000000000000054 -S315400383E00000000000000000000000000000000044 -S315400383F00000000000000000000000000000000034 -S315400384000000000000000000000000000000000023 -S315400384100000000000000000000000000000000013 -S315400384200000000000000000000000000000000003 -S3154003843000000000000000000000000000000000F3 -S3154003844000000000000000000000000000000000E3 -S3154003845000000000000000000000000000000000D3 -S3154003846000000000000000000000000000000000C3 -S3154003847000000000000000000000000000000000B3 -S3154003848000000000000000000000000000000000A3 -S315400384900000000000000000000000000000000093 -S315400384A00000000000000000000000000000000083 -S315400384B00000000000000000000000000000000073 -S315400384C00000000000000000000000000000000063 -S315400384D00000000000000000000000000000000053 -S315400384E00000000000000000000000000000000043 -S315400384F00000000000000000000000000000000033 -S315400385000000000000000000000000000000000022 -S315400385100000000000000000000000000000000012 -S315400385200000000000000000000000000000000002 -S3154003853000000000000000000000000000000000F2 -S3154003854000000000000000000000000000000000E2 -S3154003855000000000000000000000000000000000D2 -S3154003856000000000000000000000000000000000C2 -S3154003857000000000000000000000000000000000B2 -S3154003858000000000000000000000000000000000A2 -S315400385900000000000000000000000000000000092 -S315400385A00000000000000000000000000000000082 -S315400385B00000000000000000000000000000000072 -S315400385C00000000000000000000000000000000062 -S315400385D00000000000000000000000000000000052 -S315400385E00000000000000000000000000000000042 -S315400385F00000000000000000000000000000000032 -S315400386000000000000000000000000000000000021 -S315400386100000000000000000000000000000000011 -S315400386200000000000000000000000000000000001 -S3154003863000000000000000000000000000000000F1 -S3154003864000000000000000000000000000000000E1 -S3154003865000000000000000000000000000000000D1 -S3154003866000000000000000000000000000000000C1 -S3154003867000000000000000000000000000000000B1 -S3154003868000000000000000000000000000000000A1 -S315400386900000000000000000000000000000000091 -S315400386A00000000000000000000000000000000081 -S315400386B00000000000000000000000000000000071 -S315400386C00000000000000000000000000000000061 -S315400386D00000000000000000000000000000000051 -S315400386E00000000000000000000000000000000041 -S315400386F00000000000000000000000000000000031 -S315400387000000000000000000000000000000000020 -S315400387100000000000000000000000000000000010 -S315400387200000000000000000000000000000000000 -S3154003873000000000000000000000000000000000F0 -S3154003874000000000000000000000000000000000E0 -S3154003875000000000000000000000000000000000D0 -S3154003876000000000000000000000000000000000C0 -S3154003877000000000000000000000000000000000B0 -S3154003878000000000000000000000000000000000A0 -S315400387900000000000000000000000000000000090 -S315400387A00000000000000000000000000000000080 -S315400387B00000000000000000000000000000000070 -S315400387C00000000000000000000000000000000060 -S315400387D00000000000000000000000000000000050 -S315400387E00000000000000000000000000000000040 -S315400387F00000000000000000000000000000000030 -S31540038800000000000000000000000000000000001F -S31540038810000000000000000000000000000000000F -S3154003882000000000000000000000000000000000FF -S3154003883000000000000000000000000000000000EF -S3154003884000000000000000000000000000000000DF -S3154003885000000000000000000000000000000000CF -S3154003886000000000000000000000000000000000BF -S3154003887000000000000000000000000000000000AF -S31540038880000000000000000000000000000000009F -S31540038890000000000000000000000000000000008F -S315400388A0000000000000000000000000000000007F -S315400388B0000000000000000000000000000000006F -S315400388C0000000000000000000000000000000005F -S315400388D0000000000000000000000000000000004F -S315400388E0000000000000000000000000000000003F -S315400388F0000000000000000000000000000000002F -S31540038900000000000000000000000000000000001E -S31540038910000000000000000000000000000000000E -S3154003892000000000000000000000000000000000FE -S3154003893000000000000000000000000000000000EE -S3154003894000000000000000000000000000000000DE -S3154003895000000000000000000000000000000000CE -S3154003896000000000000000000000000000000000BE -S3154003897000000000000000000000000000000000AE -S31540038980000000000000000000000000000000009E -S31540038990000000000000000000000000000000008E -S315400389A0000000000000000000000000000000007E -S315400389B0000000000000000000000000000000006E -S315400389C0000000000000000000000000000000005E -S315400389D0000000000000000000000000000000004E -S315400389E0000000000000000000000000000000003E -S315400389F0000000000000000000000000000000002E -S31540038A00000000000000000000000000000000001D -S31540038A10000000000000000000000000000000000D -S31540038A2000000000000000000000000000000000FD -S31540038A3000000000000000000000000000000000ED -S31540038A4000000000000000000000000000000000DD -S31540038A5000000000000000000000000000000000CD -S31540038A6000000000000000000000000000000000BD -S31540038A7000000000000000000000000000000000AD -S31540038A80000000000000000000000000000000009D -S31540038A90000000000000000000000000000000008D -S31540038AA0000000000000000000000000000000007D -S31540038AB0000000000000000000000000000000006D -S31540038AC0000000000000000000000000000000005D -S31540038AD0000000000000000000000000000000004D -S31540038AE0000000000000000000000000000000003D -S31540038AF0000000000000000000000000000000002D -S31540038B00000000000000000000000000000000001C -S31540038B10000000000000000000000000000000000C -S31540038B2000000000000000000000000000000000FC -S31540038B3000000000000000000000000000000000EC -S31540038B4000000000000000000000000000000000DC -S31540038B5000000000000000000000000000000000CC -S31540038B6000000000000000000000000000000000BC -S31540038B7000000000000000000000000000000000AC -S31540038B80000000000000000000000000000000009C -S31540038B90000000000000000000000000000000008C -S31540038BA0000000000000000000000000000000007C -S31540038BB0000000000000000000000000000000006C -S31540038BC0000000000000000000000000000000005C -S31540038BD0000000000000000000000000000000004C -S31540038BE0000000000000000000000000000000003C -S31540038BF0000000000000000000000000000000002C -S31540038C00000000000000000000000000000000001B -S31540038C10000000000000000000000000000000000B -S31540038C2000000000000000000000000000000000FB -S31540038C3000000000000000000000000000000000EB -S31540038C4000000000000000000000000000000000DB -S31540038C5000000000000000000000000000000000CB -S31540038C6000000000000000000000000000000000BB -S31540038C7000000000000000000000000000000000AB -S31540038C80000000000000000000000000000000009B -S31540038C90000000000000000000000000000000008B -S31540038CA0000000000000000000000000000000007B -S31540038CB0000000000000000000000000000000006B -S31540038CC0000000000000000000000000000000005B -S31540038CD0000000000000000000000000000000004B -S31540038CE0000000000000000000000000000000003B -S31540038CF0000000000000000000000000000000002B -S31540038D00000000000000000000000000000000001A -S31540038D10000000000000000000000000000000000A -S31540038D2000000000000000000000000000000000FA -S31540038D3000000000000000000000000000000000EA -S31540038D4000000000000000000000000000000000DA -S31540038D5000000000000000000000000000000000CA -S31540038D6000000000000000000000000000000000BA -S31540038D7000000000000000000000000000000000AA -S31540038D80000000000000000000000000000000009A -S31540038D90000000000000000000000000000000008A -S31540038DA0000000000000000000000000000000007A -S31540038DB0000000000000000000000000000000006A -S31540038DC0000000000000000000000000000000005A -S31540038DD0000000000000000000000000000000004A -S31540038DE0000000000000000000000000000000003A -S31540038DF0000000000000000000000000000000002A -S31540038E000000000000000000000000000000000019 -S31540038E100000000000000000000000000000000009 -S31540038E2000000000000000000000000000000000F9 -S31540038E3000000000000000000000000000000000E9 -S31540038E4000000000000000000000000000000000D9 -S31540038E5000000000000000000000000000000000C9 -S31540038E6000000000000000000000000000000000B9 -S31540038E7000000000000000000000000000000000A9 -S31540038E800000000000000000000000000000000099 -S31540038E900000000000000000000000000000000089 -S31540038EA00000000000000000000000000000000079 -S31540038EB00000000000000000000000000000000069 -S31540038EC00000000000000000000000000000000059 -S31540038ED00000000000000000000000000000000049 -S31540038EE00000000000000000000000000000000039 -S31540038EF00000000000000000000000000000000029 -S31540038F000000000000000000000000000000000018 -S31540038F100000000000000000000000000000000008 -S31540038F2000000000000000000000000000000000F8 -S31540038F3000000000000000000000000000000000E8 -S31540038F4000000000000000000000000000000000D8 -S31540038F5000000000000000000000000000000000C8 -S31540038F6000000000000000000000000000000000B8 -S31540038F7000000000000000000000000000000000A8 -S31540038F800000000000000000000000000000000098 -S31540038F900000000000000000000000000000000088 -S31540038FA00000000000000000000000000000000078 -S31540038FB00000000000000000000000000000000068 -S31540038FC00000000000000000000000000000000058 -S31540038FD00000000000000000000000000000000048 -S31540038FE00000000000000000000000000000000038 -S31540038FF00000000000000000000000000000000028 -S315400390000000000000000000000000000000000017 -S315400390100000000000000000000000000000000007 -S3154003902000000000000000000000000000000000F7 -S3154003903000000000000000000000000000000000E7 -S3154003904000000000000000000000000000000000D7 -S3154003905000000000000000000000000000000000C7 -S3154003906000000000000000000000000000000000B7 -S3154003907000000000000000000000000000000000A7 -S315400390800000000000000000000000000000000097 -S315400390900000000000000000000000000000000087 -S315400390A00000000000000000000000000000000077 -S315400390B00000000000000000000000000000000067 -S315400390C00000000000000000000000000000000057 -S315400390D00000000000000000000000000000000047 -S315400390E00000000000000000000000000000000037 -S315400390F00000000000000000000000000000000027 -S315400391000000000000000000000000000000000016 -S315400391100000000000000000000000000000000006 -S3154003912000000000000000000000000000000000F6 -S3154003913000000000000000000000000000000000E6 -S3154003914000000000000000000000000000000000D6 -S3154003915000000000000000000000000000000000C6 -S3154003916000000000000000000000000000000000B6 -S3154003917000000000000000000000000000000000A6 -S315400391800000000000000000000000000000000096 -S315400391900000000000000000000000000000000086 -S315400391A00000000000000000000000000000000076 -S315400391B00000000000000000000000000000000066 -S315400391C00000000000000000000000000000000056 -S315400391D00000000000000000000000000000000046 -S315400391E00000000000000000000000000000000036 -S315400391F00000000000000000000000000000000026 -S315400392000000000000000000000000000000000015 -S315400392100000000000000000000000000000000005 -S3154003922000000000000000000000000000000000F5 -S3154003923000000000000000000000000000000000E5 -S3154003924000000000000000000000000000000000D5 -S3154003925000000000000000000000000000000000C5 -S3154003926000000000000000000000000000000000B5 -S3154003927000000000000000000000000000000000A5 -S315400392800000000000000000000000000000000095 -S315400392900000000000000000000000000000000085 -S315400392A00000000000000000000000000000000075 -S315400392B00000000000000000000000000000000065 -S315400392C00000000000000000000000000000000055 -S315400392D00000000000000000000000000000000045 -S315400392E00000000000000000000000000000000035 -S315400392F00000000000000000000000000000000025 -S315400393000000000000000000000000000000000014 -S315400393100000000000000000000000000000000004 -S3154003932000000000000000000000000000000000F4 -S3154003933000000000000000000000000000000000E4 -S3154003934000000000000000000000000000000000D4 -S3154003935000000000000000000000000000000000C4 -S3154003936000000000000000000000000000000000B4 -S3154003937000000000000000000000000000000000A4 -S315400393800000000000000000000000000000000094 -S315400393900000000000000000000000000000000084 -S315400393A00000000000000000000000000000000074 -S315400393B00000000000000000000000000000000064 -S315400393C00000000000000000000000000000000054 -S315400393D00000000000000000000000000000000044 -S315400393E00000000000000000000000000000000034 -S315400393F00000000000000000000000000000000024 -S315400394000000000000000000000000000000000013 -S315400394100000000000000000000000000000000003 -S3154003942000000000000000000000000000000000F3 -S3154003943000000000000000000000000000000000E3 -S3154003944000000000000000000000000000000000D3 -S3154003945000000000000000000000000000000000C3 -S3154003946000000000000000000000000000000000B3 -S3154003947000000000000000000000000000000000A3 -S315400394800000000000000000000000000000000093 -S315400394900000000000000000000000000000000083 -S315400394A00000000000000000000000000000000073 -S315400394B00000000000000000000000000000000063 -S315400394C00000000000000000000000000000000053 -S315400394D00000000000000000000000000000000043 -S315400394E00000000000000000000000000000000033 -S315400394F00000000000000000000000000000000023 -S315400395000000000000000000000000000000000012 -S315400395100000000000000000000000000000000002 -S3154003952000000000000000000000000000000000F2 -S3154003953000000000000000000000000000000000E2 -S3154003954000000000000000000000000000000000D2 -S3154003955000000000000000000000000000000000C2 -S3154003956000000000000000000000000000000000B2 -S3154003957000000000000000000000000000000000A2 -S315400395800000000000000000000000000000000092 -S315400395900000000000000000000000000000000082 -S315400395A00000000000000000000000000000000072 -S315400395B00000000000000000000000000000000062 -S315400395C00000000000000000000000000000000052 -S315400395D00000000000000000000000000000000042 -S315400395E00000000000000000000000000000000032 -S315400395F00000000000000000000000000000000022 -S315400396000000000000000000000000000000000011 -S315400396100000000000000000000000000000000001 -S3154003962000000000000000000000000000000000F1 -S3154003963000000000000000000000000000000000E1 -S3154003964000000000000000000000000000000000D1 -S3154003965000000000000000000000000000000000C1 -S3154003966000000000000000000000000000000000B1 -S3154003967000000000000000000000000000000000A1 -S315400396800000000000000000000000000000000091 -S315400396900000000000000000000000000000000081 -S315400396A00000000000000000000000000000000071 -S315400396B00000000000000000000000000000000061 -S315400396C00000000000000000000000000000000051 -S315400396D00000000000000000000000000000000041 -S315400396E00000000000000000000000000000000031 -S315400396F00000000000000000000000000000000021 -S315400397000000000000000000000000000000000010 -S315400397100000000000000000000000000000000000 -S3154003972000000000000000000000000000000000F0 -S3154003973000000000000000000000000000000000E0 -S3154003974000000000000000000000000000000000D0 -S3154003975000000000000000000000000000000000C0 -S3154003976000000000000000000000000000000000B0 -S3154003977000000000000000000000000000000000A0 -S315400397800000000000000000000000000000000090 -S315400397900000000000000000000000000000000080 -S315400397A00000000000000000000000000000000070 -S315400397B00000000000000000000000000000000060 -S315400397C00000000000000000000000000000000050 -S315400397D00000000000000000000000000000000040 -S315400397E00000000000000000000000000000000030 -S315400397F00000000000000000000000000000000020 -S31540039800000000000000000000000000000000000F -S3154003981000000000000000000000000000000000FF -S3154003982000000000000000000000000000000000EF -S3154003983000000000000000000000000000000000DF -S3154003984000000000000000000000000000000000CF -S3154003985000000000000000000000000000000000BF -S3154003986000000000000000000000000000000000AF -S31540039870000000000000000000000000000000009F -S31540039880000000000000000000000000000000008F -S31540039890000000000000000000000000000000007F -S315400398A0000000000000000000000000000000006F -S315400398B0000000000000000000000000000000005F -S315400398C0000000000000000000000000000000004F -S315400398D0000000000000000000000000000000003F -S315400398E0000000000000000000000000000000002F -S315400398F0000000000000000000000000000000001F -S31540039900000000000000000000000000000000000E -S3154003991000000000000000000000000000000000FE -S3154003992000000000000000000000000000000000EE -S3154003993000000000000000000000000000000000DE -S3154003994000000000000000000000000000000000CE -S3154003995000000000000000000000000000000000BE -S3154003996000000000000000000000000000000000AE -S31540039970000000000000000000000000000000009E -S31540039980000000000000000000000000000000008E -S31540039990000000000000000000000000000000007E -S315400399A0000000000000000000000000000000006E -S315400399B0000000000000000000000000000000005E -S315400399C0000000000000000000000000000000004E -S315400399D0000000000000000000000000000000003E -S315400399E0000000000000000000000000000000002E -S315400399F0000000000000000000000000000000001E -S31540039A00000000000000000000000000000000000D -S31540039A1000000000000000000000000000000000FD -S31540039A2000000000000000000000000000000000ED -S31540039A3000000000000000000000000000000000DD -S31540039A4000000000000000000000000000000000CD -S31540039A5000000000000000000000000000000000BD -S31540039A6000000000000000000000000000000000AD -S31540039A70000000000000000000000000000000009D -S31540039A80000000000000000000000000000000008D -S31540039A90000000000000000000000000000000007D -S31540039AA0000000000000000000000000000000006D -S31540039AB0000000000000000000000000000000005D -S31540039AC0000000000000000000000000000000004D -S31540039AD0000000000000000000000000000000003D -S31540039AE0000000000000000000000000000000002D -S31540039AF0000000000000000000000000000000001D -S31540039B00000000000000000000000000000000000C -S31540039B1000000000000000000000000000000000FC -S31540039B2000000000000000000000000000000000EC -S31540039B3000000000000000000000000000000000DC -S31540039B4000000000000000000000000000000000CC -S31540039B5000000000000000000000000000000000BC -S31540039B6000000000000000000000000000000000AC -S31540039B70000000000000000000000000000000009C -S31540039B80000000000000000000000000000000008C -S31540039B90000000000000000000000000000000007C -S31540039BA0000000000000000000000000000000006C -S31540039BB0000000000000000000000000000000005C -S31540039BC0000000000000000000000000000000004C -S31540039BD0000000000000000000000000000000003C -S31540039BE0000000000000000000000000000000002C -S31540039BF0000000000000000000000000000000001C -S31540039C00000000000000000000000000000000000B -S31540039C1000000000000000000000000000000000FB -S31540039C2000000000000000000000000000000000EB -S31540039C3000000000000000000000000000000000DB -S31540039C4000000000000000000000000000000000CB -S31540039C5000000000000000000000000000000000BB -S31540039C6000000000000000000000000000000000AB -S31540039C70000000000000000000000000000000009B -S31540039C80000000000000000000000000000000008B -S31540039C90000000000000000000000000000000007B -S31540039CA0000000000000000000000000000000006B -S31540039CB0000000000000000000000000000000005B -S31540039CC0000000000000000000000000000000004B -S31540039CD0000000000000000000000000000000003B -S31540039CE0000000000000000000000000000000002B -S31540039CF0000000000000000000000000000000001B -S31540039D00000000000000000000000000000000000A -S31540039D1000000000000000000000000000000000FA -S31540039D2000000000000000000000000000000000EA -S31540039D3000000000000000000000000000000000DA -S31540039D4000000000000000000000000000000000CA -S31540039D5000000000000000000000000000000000BA -S31540039D6000000000000000000000000000000000AA -S31540039D70000000000000000000000000000000009A -S31540039D80000000000000000000000000000000008A -S31540039D90000000000000000000000000000000007A -S31540039DA0000000000000000000000000000000006A -S31540039DB0000000000000000000000000000000005A -S31540039DC0000000000000000000000000000000004A -S31540039DD0000000000000000000000000000000003A -S31540039DE0000000000000000000000000000000002A -S31540039DF0000000000000000000000000000000001A -S31540039E000000000000000000000000000000000009 -S31540039E1000000000000000000000000000000000F9 -S31540039E2000000000000000000000000000000000E9 -S31540039E3000000000000000000000000000000000D9 -S31540039E4000000000000000000000000000000000C9 -S31540039E5000000000000000000000000000000000B9 -S31540039E6000000000000000000000000000000000A9 -S31540039E700000000000000000000000000000000099 -S31540039E800000000000000000000000000000000089 -S31540039E900000000000000000000000000000000079 -S31540039EA00000000000000000000000000000000069 -S31540039EB00000000000000000000000000000000059 -S31540039EC00000000000000000000000000000000049 -S31540039ED00000000000000000000000000000000039 -S31540039EE00000000000000000000000000000000029 -S31540039EF00000000000000000000000000000000019 -S31540039F000000000000000000000000000000000008 -S31540039F1000000000000000000000000000000000F8 -S31540039F2000000000000000000000000000000000E8 -S31540039F3000000000000000000000000000000000D8 -S31540039F4000000000000000000000000000000000C8 -S31540039F5000000000000000000000000000000000B8 -S31540039F6000000000000000000000000000000000A8 -S31540039F700000000000000000000000000000000098 -S31540039F800000000000000000000000000000000088 -S31540039F900000000000000000000000000000000078 -S31540039FA00000000000000000000000000000000068 -S31540039FB00000000000000000000000000000000058 -S31540039FC00000000000000000000000000000000048 -S31540039FD00000000000000000000000000000000038 -S31540039FE00000000000000000000000000000000028 -S31540039FF00000000000000000000000000000000018 -S3154003A0000000000000000000000000000000000007 -S3154003A01000000000000000000000000000000000F7 -S3154003A02000000000000000000000000000000000E7 -S3154003A03000000000000000000000000000000000D7 -S3154003A04000000000000000000000000000000000C7 -S3154003A05000000000000000000000000000000000B7 -S3154003A06000000000000000000000000000000000A7 -S3154003A0700000000000000000000000000000000097 -S3154003A0800000000000000000000000000000000087 -S3154003A0900000000000000000000000000000000077 -S3154003A0A00000000000000000000000000000000067 -S3154003A0B00000000000000000000000000000000057 -S3154003A0C00000000000000000000000000000000047 -S3154003A0D00000000000000000000000000000000037 -S3154003A0E00000000000000000000000000000000027 -S3154003A0F00000000000000000000000000000000017 -S3154003A1000000000000000000000000000000000006 -S3154003A11000000000000000000000000000000000F6 -S3154003A12000000000000000000000000000000000E6 -S3154003A13000000000000000000000000000000000D6 -S3154003A14000000000000000000000000000000000C6 -S3154003A15000000000000000000000000000000000B6 -S3154003A16000000000000000000000000000000000A6 -S3154003A1700000000000000000000000000000000096 -S3154003A1800000000000000000000000000000000086 -S3154003A1900000000000000000000000000000000076 -S3154003A1A00000000000000000000000000000000066 -S3154003A1B00000000000000000000000000000000056 -S3154003A1C00000000000000000000000000000000046 -S3154003A1D00000000000000000000000000000000036 -S3154003A1E00000000000000000000000000000000026 -S3154003A1F00000000000000000000000000000000016 -S3154003A2000000000000000000000000000000000005 -S3154003A21000000000000000000000000000000000F5 -S3154003A22000000000000000000000000000000000E5 -S3154003A23000000000000000000000000000000000D5 -S3154003A24000000000000000000000000000000000C5 -S3154003A25000000000000000000000000000000000B5 -S3154003A26000000000000000000000000000000000A5 -S3154003A2700000000000000000000000000000000095 -S3154003A2800000000000000000000000000000000085 -S3154003A2900000000000000000000000000000000075 -S3154003A2A00000000000000000000000000000000065 -S3154003A2B00000000000000000000000000000000055 -S3154003A2C00000000000000000000000000000000045 -S3154003A2D00000000000000000000000000000000035 -S3154003A2E00000000000000000000000000000000025 -S3154003A2F00000000000000000000000000000000015 -S3154003A3000000000000000000000000000000000004 -S3154003A31000000000000000000000000000000000F4 -S3154003A32000000000000000000000000000000000E4 -S3154003A33000000000000000000000000000000000D4 -S3154003A34000000000000000000000000000000000C4 -S3154003A35000000000000000000000000000000000B4 -S3154003A36000000000000000000000000000000000A4 -S3154003A3700000000000000000000000000000000094 -S3154003A3800000000000000000000000000000000084 -S3154003A3900000000000000000000000000000000074 -S3154003A3A00000000000000000000000000000000064 -S3154003A3B00000000000000000000000000000000054 -S3154003A3C00000000000000000000000000000000044 -S3154003A3D00000000000000000000000000000000034 -S3154003A3E00000000000000000000000000000000024 -S3154003A3F00000000000000000000000000000000014 -S3154003A4000000000000000000000000000000000003 -S3154003A41000000000000000000000000000000000F3 -S3154003A42000000000000000000000000000000000E3 -S3154003A43000000000000000000000000000000000D3 -S3154003A44000000000000000000000000000000000C3 -S3154003A45000000000000000000000000000000000B3 -S3154003A46000000000000000000000000000000000A3 -S3154003A4700000000000000000000000000000000093 -S3154003A4800000000000000000000000000000000083 -S3154003A4900000000000000000000000000000000073 -S3154003A4A00000000000000000000000000000000063 -S3154003A4B00000000000000000000000000000000053 -S3154003A4C00000000000000000000000000000000043 -S3154003A4D00000000000000000000000000000000033 -S3154003A4E00000000000000000000000000000000023 -S3154003A4F00000000000000000000000000000000013 -S3154003A5000000000000000000000000000000000002 -S3154003A51000000000000000000000000000000000F2 -S3154003A52000000000000000000000000000000000E2 -S3154003A53000000000000000000000000000000000D2 -S3154003A54000000000000000000000000000000000C2 -S3154003A55000000000000000000000000000000000B2 -S3154003A56000000000000000000000000000000000A2 -S3154003A5700000000000000000000000000000000092 -S3154003A5800000000000000000000000000000000082 -S3154003A5900000000000000000000000000000000072 -S3154003A5A00000000000000000000000000000000062 -S3154003A5B00000000000000000000000000000000052 -S3154003A5C00000000000000000000000000000000042 -S3154003A5D00000000000000000000000000000000032 -S3154003A5E00000000000000000000000000000000022 -S3154003A5F00000000000000000000000000000000012 -S3154003A6000000000000000000000000000000000001 -S3154003A61000000000000000000000000000000000F1 -S3154003A62000000000000000000000000000000000E1 -S3154003A63000000000000000000000000000000000D1 -S3154003A64000000000000000000000000000000000C1 -S3154003A65000000000000000000000000000000000B1 -S3154003A66000000000000000000000000000000000A1 -S3154003A6700000000000000000000000000000000091 -S3154003A6800000000000000000000000000000000081 -S3154003A6900000000000000000000000000000000071 -S3154003A6A00000000000000000000000000000000061 -S3154003A6B00000000000000000000000000000000051 -S3154003A6C00000000000000000000000000000000041 -S3154003A6D00000000000000000000000000000000031 -S3154003A6E00000000000000000000000000000000021 -S3154003A6F00000000000000000000000000000000011 -S3154003A7000000000000000000000000000000000000 -S3154003A71000000000000000000000000000000000F0 -S3154003A72000000000000000000000000000000000E0 -S3154003A73000000000000000000000000000000000D0 -S3154003A74000000000000000000000000000000000C0 -S3154003A75000000000000000000000000000000000B0 -S3154003A76000000000000000000000000000000000A0 -S3154003A7700000000000000000000000000000000090 -S3154003A7800000000000000000000000000000000080 -S3154003A7900000000000000000000000000000000070 -S3154003A7A00000000000000000000000000000000060 -S3154003A7B00000000000000000000000000000000050 -S3154003A7C00000000000000000000000000000000040 -S3154003A7D00000000000000000000000000000000030 -S3154003A7E00000000000000000000000000000000020 -S3154003A7F00000000000000000000000000000000010 -S3154003A80000000000000000000000000000000000FF -S3154003A81000000000000000000000000000000000EF -S3154003A82000000000000000000000000000000000DF -S3154003A83000000000000000000000000000000000CF -S3154003A84000000000000000000000000000000000BF -S3154003A85000000000000000000000000000000000AF -S3154003A860000000000000000000000000000000009F -S3154003A870000000000000000000000000000000008F -S3154003A880000000000000000000000000000000007F -S3154003A890000000000000000000000000000000006F -S3154003A8A0000000000000000000000000000000005F -S3154003A8B0000000000000000000000000000000004F -S3154003A8C0000000000000000000000000000000003F -S3154003A8D0000000000000000000000000000000002F -S3154003A8E0000000000000000000000000000000001F -S3154003A8F0000000000000000000000000000000000F -S3154003A90000000000000000000000000000000000FE -S3154003A91000000000000000000000000000000000EE -S3154003A92000000000000000000000000000000000DE -S3154003A93000000000000000000000000000000000CE -S3154003A94000000000000000000000000000000000BE -S3154003A95000000000000000000000000000000000AE -S3154003A960000000000000000000000000000000009E -S3154003A970000000000000000000000000000000008E -S3154003A980000000000000000000000000000000007E -S3154003A990000000000000000000000000000000006E -S3154003A9A0000000000000000000000000000000005E -S3154003A9B0000000000000000000000000000000004E -S3154003A9C0000000000000000000000000000000003E -S3154003A9D0000000000000000000000000000000002E -S3154003A9E0000000000000000000000000000000001E -S3154003A9F0000000000000000000000000000000000E -S3154003AA0000000000000000000000000000000000FD -S3154003AA1000000000000000000000000000000000ED -S3154003AA2000000000000000000000000000000000DD -S3154003AA3000000000000000000000000000000000CD -S3154003AA4000000000000000000000000000000000BD -S3154003AA5000000000000000000000000000000000AD -S3154003AA60000000000000000000000000000000009D -S3154003AA70000000000000000000000000000000008D -S3154003AA80000000000000000000000000000000007D -S3154003AA90000000000000000000000000000000006D -S3154003AAA0000000000000000000000000000000005D -S3154003AAB0000000000000000000000000000000004D -S3154003AAC0000000000000000000000000000000003D -S3154003AAD0000000000000000000000000000000002D -S3154003AAE0000000000000000000000000000000001D -S3154003AAF0000000000000000000000000000000000D -S3154003AB0000000000000000000000000000000000FC -S3154003AB1000000000000000000000000000000000EC -S3154003AB2000000000000000000000000000000000DC -S3154003AB3000000000000000000000000000000000CC -S3154003AB4000000000000000000000000000000000BC -S3154003AB5000000000000000000000000000000000AC -S3154003AB60000000000000000000000000000000009C -S3154003AB70000000000000000000000000000000008C -S3154003AB80000000000000000000000000000000007C -S3154003AB90000000000000000000000000000000006C -S3154003ABA0000000000000000000000000000000005C -S3154003ABB0000000000000000000000000000000004C -S3154003ABC0000000000000000000000000000000003C -S3154003ABD0000000000000000000000000000000002C -S3154003ABE0000000000000000000000000000000001C -S3154003ABF0000000000000000000000000000000000C -S3154003AC0000000000000000000000000000000000FB -S3154003AC1000000000000000000000000000000000EB -S3154003AC2000000000000000000000000000000000DB -S3154003AC3000000000000000000000000000000000CB -S3154003AC4000000000000000000000000000000000BB -S3154003AC5000000000000000000000000000000000AB -S3154003AC60000000000000000000000000000000009B -S3154003AC70000000000000000000000000000000008B -S3154003AC80000000000000000000000000000000007B -S3154003AC90000000000000000000000000000000006B -S3154003ACA0000000000000000000000000000000005B -S3154003ACB0000000000000000000000000000000004B -S3154003ACC0000000000000000000000000000000003B -S3154003ACD0000000000000000000000000000000002B -S3154003ACE0000000000000000000000000000000001B -S3154003ACF0000000000000000000000000000000000B -S3154003AD0000000000000000000000000000000000FA -S3154003AD1000000000000000000000000000000000EA -S3154003AD2000000000000000000000000000000000DA -S3154003AD3000000000000000000000000000000000CA -S3154003AD4000000000000000000000000000000000BA -S3154003AD5000000000000000000000000000000000AA -S3154003AD60000000000000000000000000000000009A -S3154003AD70000000000000000000000000000000008A -S3154003AD80000000000000000000000000000000007A -S3154003AD90000000000000000000000000000000006A -S3154003ADA0000000000000000000000000000000005A -S3154003ADB0000000000000000000000000000000004A -S3154003ADC0000000000000000000000000000000003A -S3154003ADD0000000000000000000000000000000002A -S3154003ADE0000000000000000000000000000000001A -S3154003ADF0000000000000000000000000000000000A -S3154003AE0000000000000000000000000000000000F9 -S3154003AE1000000000000000000000000000000000E9 -S3154003AE2000000000000000000000000000000000D9 -S3154003AE3000000000000000000000000000000000C9 -S3154003AE4000000000000000000000000000000000B9 -S3154003AE5000000000000000000000000000000000A9 -S3154003AE600000000000000000000000000000000099 -S3154003AE700000000000000000000000000000000089 -S3154003AE800000000000000000000000000000000079 -S3154003AE900000000000000000000000000000000069 -S3154003AEA00000000000000000000000000000000059 -S3154003AEB00000000000000000000000000000000049 -S3154003AEC00000000000000000000000000000000039 -S3154003AED00000000000000000000000000000000029 -S3154003AEE00000000000000000000000000000000019 -S3154003AEF00000000000000000000000000000000009 -S3154003AF0000000000000000000000000000000000F8 -S3154003AF1000000000000000000000000000000000E8 -S3154003AF2000000000000000000000000000000000D8 -S3154003AF3000000000000000000000000000000000C8 -S3154003AF4000000000000000000000000000000000B8 -S3154003AF5000000000000000000000000000000000A8 -S3154003AF600000000000000000000000000000000098 -S3154003AF700000000000000000000000000000000088 -S3154003AF800000000000000000000000000000000078 -S3154003AF900000000000000000000000000000000068 -S3154003AFA00000000000000000000000000000000058 -S3154003AFB00000000000000000000000000000000048 -S3154003AFC00000000000000000000000000000000038 -S3154003AFD00000000000000000000000000000000028 -S3154003AFE00000000000000000000000000000000018 -S3154003AFF00000000000000000000000000000000008 -S3154003B00000000000000000000000000000000000F7 -S3154003B01000000000000000000000000000000000E7 -S3154003B02000000000000000000000000000000000D7 -S3154003B03000000000000000000000000000000000C7 -S3154003B04000000000000000000000000000000000B7 -S3154003B05000000000000000000000000000000000A7 -S3154003B0600000000000000000000000000000000097 -S3154003B0700000000000000000000000000000000087 -S3154003B0800000000000000000000000000000000077 -S3154003B0900000000000000000000000000000000067 -S3154003B0A00000000000000000000000000000000057 -S3154003B0B00000000000000000000000000000000047 -S3154003B0C00000000000000000000000000000000037 -S3154003B0D00000000000000000000000000000000027 -S3154003B0E00000000000000000000000000000000017 -S3154003B0F00000000000000000000000000000000007 -S3154003B10000000000000000000000000000000000F6 -S3154003B11000000000000000000000000000000000E6 -S3154003B12000000000000000000000000000000000D6 -S3154003B13000000000000000000000000000000000C6 -S3154003B14000000000000000000000000000000000B6 -S3154003B15000000000000000000000000000000000A6 -S3154003B1600000000000000000000000000000000096 -S3154003B1700000000000000000000000000000000086 -S3154003B1800000000000000000000000000000000076 -S3154003B1900000000000000000000000000000000066 -S3154003B1A00000000000000000000000000000000056 -S3154003B1B00000000000000000000000000000000046 -S3154003B1C00000000000000000000000000000000036 -S3154003B1D00000000000000000000000000000000026 -S3154003B1E00000000000000000000000000000000016 -S3154003B1F00000000000000000000000000000000006 -S3154003B20000000000000000000000000000000000F5 -S3154003B21000000000000000000000000000000000E5 -S3154003B22000000000000000000000000000000000D5 -S3154003B23000000000000000000000000000000000C5 -S3154003B24000000000000000000000000000000000B5 -S3154003B25000000000000000000000000000000000A5 -S3154003B2600000000000000000000000000000000095 -S3154003B2700000000000000000000000000000000085 -S3154003B2800000000000000000000000000000000075 -S3154003B2900000000000000000000000000000000065 -S3154003B2A00000000000000000000000000000000055 -S3154003B2B00000000000000000000000000000000045 -S3154003B2C00000000000000000000000000000000035 -S3154003B2D00000000000000000000000000000000025 -S3154003B2E00000000000000000000000000000000015 -S3154003B2F00000000000000000000000000000000005 -S3154003B30000000000000000000000000000000000F4 -S3154003B31000000000000000000000000000000000E4 -S3154003B32000000000000000000000000000000000D4 -S3154003B33000000000000000000000000000000000C4 -S3154003B34000000000000000000000000000000000B4 -S3154003B35000000000000000000000000000000000A4 -S3154003B3600000000000000000000000000000000094 -S3154003B3700000000000000000000000000000000084 -S3154003B3800000000000000000000000000000000074 -S3154003B3900000000000000000000000000000000064 -S3154003B3A00000000000000000000000000000000054 -S3154003B3B00000000000000000000000000000000044 -S3154003B3C00000000000000000000000000000000034 -S3154003B3D00000000000000000000000000000000024 -S3154003B3E00000000000000000000000000000000014 -S3154003B3F00000000000000000000000000000000004 -S3154003B40000000000000000000000000000000000F3 -S3154003B41000000000000000000000000000000000E3 -S3154003B42000000000000000000000000000000000D3 -S3154003B43000000000000000000000000000000000C3 -S3154003B44000000000000000000000000000000000B3 -S3154003B45000000000000000000000000000000000A3 -S3154003B4600000000000000000000000000000000093 -S3154003B4700000000000000000000000000000000083 -S3154003B4800000000000000000000000000000000073 -S3154003B4900000000000000000000000000000000063 -S3154003B4A00000000000000000000000000000000053 -S3154003B4B00000000000000000000000000000000043 -S3154003B4C00000000000000000000000000000000033 -S3154003B4D00000000000000000000000000000000023 -S3154003B4E00000000000000000000000000000000013 -S3154003B4F00000000000000000000000000000000003 -S3154003B50000000000000000000000000000000000F2 -S3154003B51000000000000000000000000000000000E2 -S3154003B52000000000000000000000000000000000D2 -S3154003B53000000000000000000000000000000000C2 -S3154003B54000000000000000000000000000000000B2 -S3154003B55000000000000000000000000000000000A2 -S3154003B5600000000000000000000000000000000092 -S3154003B5700000000000000000000000000000000082 -S3154003B5800000000000000000000000000000000072 -S3154003B5900000000000000000000000000000000062 -S3154003B5A00000000000000000000000000000000052 -S3154003B5B00000000000000000000000000000000042 -S3154003B5C00000000000000000000000000000000032 -S3154003B5D00000000000000000000000000000000022 -S3154003B5E00000000000000000000000000000000012 -S3154003B5F00000000000000000000000000000000002 -S3154003B60000000000000000000000000000000000F1 -S3154003B61000000000000000000000000000000000E1 -S3154003B62000000000000000000000000000000000D1 -S3154003B63000000000000000000000000000000000C1 -S3154003B64000000000000000000000000000000000B1 -S3154003B65000000000000000000000000000000000A1 -S3154003B6600000000000000000000000000000000091 -S3154003B6700000000000000000000000000000000081 -S3154003B6800000000000000000000000000000000071 -S3154003B6900000000000000000000000000000000061 -S3154003B6A00000000000000000000000000000000051 -S3154003B6B00000000000000000000000000000000041 -S3154003B6C00000000000000000000000000000000031 -S3154003B6D00000000000000000000000000000000021 -S3154003B6E00000000000000000000000000000000011 -S3154003B6F00000000000000000000000000000000001 -S3154003B70000000000000000000000000000000000F0 -S3154003B71000000000000000000000000000000000E0 -S3154003B72000000000000000000000000000000000D0 -S3154003B73000000000000000000000000000000000C0 -S3154003B74000000000000000000000000000000000B0 -S3154003B75000000000000000000000000000000000A0 -S3154003B7600000000000000000000000000000000090 -S3154003B7700000000000000000000000000000000080 -S3154003B7800000000000000000000000000000000070 -S3154003B7900000000000000000000000000000000060 -S3154003B7A00000000000000000000000000000000050 -S3154003B7B00000000000000000000000000000000040 -S3154003B7C00000000000000000000000000000000030 -S3154003B7D00000000000000000000000000000000020 -S3154003B7E00000000000000000000000000000000010 -S3154003B7F00000000000000000000000000000000000 -S3154003B80000000000000000000000000000000000EF -S3154003B81000000000000000000000000000000000DF -S3154003B82000000000000000000000000000000000CF -S3154003B83000000000000000000000000000000000BF -S3154003B84000000000000000000000000000000000AF -S3154003B850000000000000000000000000000000009F -S3154003B860000000000000000000000000000000008F -S3154003B870000000000000000000000000000000007F -S3154003B880000000000000000000000000000000006F -S3154003B890000000000000000000000000000000005F -S3154003B8A0000000000000000000000000000000004F -S3154003B8B0000000000000000000000000000000003F -S3154003B8C0000000000000000000000000000000002F -S3154003B8D0000000000000000000000000000000001F -S3154003B8E0000000000000000000000000000000000F -S3154003B8F000000000000000000000000000000000FF -S3154003B90000000000000000000000000000000000EE -S3154003B91000000000000000000000000000000000DE -S3154003B92000000000000000000000000000000000CE -S3154003B93000000000000000000000000000000000BE -S3154003B94000000000000000000000000000000000AE -S3154003B950000000000000000000000000000000009E -S3154003B960000000000000000000000000000000008E -S3154003B970000000000000000000000000000000007E -S3154003B980000000000000000000000000000000006E -S3154003B990000000000000000000000000000000005E -S3154003B9A0000000000000000000000000000000004E -S3154003B9B0000000000000000000000000000000003E -S3154003B9C0000000000000000000000000000000002E -S3154003B9D0000000000000000000000000000000001E -S3154003B9E0000000000000000000000000000000000E -S3154003B9F000000000000000000000000000000000FE -S3154003BA0000000000000000000000000000000000ED -S3154003BA1000000000000000000000000000000000DD -S3154003BA2000000000000000000000000000000000CD -S3154003BA3000000000000000000000000000000000BD -S3154003BA4000000000000000000000000000000000AD -S3154003BA50000000000000000000000000000000009D -S3154003BA60000000000000000000000000000000008D -S3154003BA70000000000000000000000000000000007D -S3154003BA80000000000000000000000000000000006D -S3154003BA90000000000000000000000000000000005D -S3154003BAA0000000000000000000000000000000004D -S3154003BAB0000000000000000000000000000000003D -S3154003BAC0000000000000000000000000000000002D -S3154003BAD0000000000000000000000000000000001D -S3154003BAE0000000000000000000000000000000000D -S3154003BAF000000000000000000000000000000000FD -S3154003BB0000000000000000000000000000000000EC -S3154003BB1000000000000000000000000000000000DC -S3154003BB2000000000000000000000000000000000CC -S3154003BB3000000000000000000000000000000000BC -S3154003BB4000000000000000000000000000000000AC -S3154003BB50000000000000000000000000000000009C -S3154003BB60000000000000000000000000000000008C -S3154003BB70000000000000000000000000000000007C -S3154003BB80000000000000000000000000000000006C -S3154003BB90000000000000000000000000000000005C -S3154003BBA0000000000000000000000000000000004C -S3154003BBB0000000000000000000000000000000003C -S3154003BBC0000000000000000000000000000000002C -S3154003BBD0000000000000000000000000000000001C -S3154003BBE0000000000000000000000000000000000C -S3154003BBF000000000000000000000000000000000FC -S3154003BC0000000000000000000000000000000000EB -S3154003BC1000000000000000000000000000000000DB -S3154003BC2000000000000000000000000000000000CB -S3154003BC3000000000000000000000000000000000BB -S3154003BC4000000000000000000000000000000000AB -S3154003BC50000000000000000000000000000000009B -S3154003BC60000000000000000000000000000000008B -S3154003BC70000000000000000000000000000000007B -S3154003BC80000000000000000000000000000000006B -S3154003BC90000000000000000000000000000000005B -S3154003BCA0000000000000000000000000000000004B -S3154003BCB0000000000000000000000000000000003B -S3154003BCC0000000000000000000000000000000002B -S3154003BCD0000000000000000000000000000000001B -S3154003BCE0000000000000000000000000000000000B -S3154003BCF000000000000000000000000000000000FB -S3154003BD0000000000000000000000000000000000EA -S3154003BD1000000000000000000000000000000000DA -S3154003BD2000000000000000000000000000000000CA -S3154003BD3000000000000000000000000000000000BA -S3154003BD4000000000000000000000000000000000AA -S3154003BD50000000000000000000000000000000009A -S3154003BD60000000000000000000000000000000008A -S3154003BD70000000000000000000000000000000007A -S3154003BD80000000000000000000000000000000006A -S3154003BD90000000000000000000000000000000005A -S3154003BDA0000000000000000000000000000000004A -S3154003BDB0000000000000000000000000000000003A -S3154003BDC0000000000000000000000000000000002A -S3154003BDD0000000000000000000000000000000001A -S3154003BDE0000000000000000000000000000000000A -S3154003BDF000000000000000000000000000000000FA -S3154003BE0000000000000000000000000000000000E9 -S3154003BE1000000000000000000000000000000000D9 -S3154003BE2000000000000000000000000000000000C9 -S3154003BE3000000000000000000000000000000000B9 -S3154003BE4000000000000000000000000000000000A9 -S3154003BE500000000000000000000000000000000099 -S3154003BE600000000000000000000000000000000089 -S3154003BE700000000000000000000000000000000079 -S3154003BE800000000000000000000000000000000069 -S3154003BE900000000000000000000000000000000059 -S3154003BEA00000000000000000000000000000000049 -S3154003BEB00000000000000000000000000000000039 -S3154003BEC00000000000000000000000000000000029 -S3154003BED00000000000000000000000000000000019 -S3154003BEE00000000000000000000000000000000009 -S3154003BEF000000000000000000000000000000000F9 -S3154003BF0000000000000000000000000000000000E8 -S3154003BF1000000000000000000000000000000000D8 -S3154003BF2000000000000000000000000000000000C8 -S3154003BF3000000000000000000000000000000000B8 -S3154003BF4000000000000000000000000000000000A8 -S3154003BF500000000000000000000000000000000098 -S3154003BF600000000000000000000000000000000088 -S3154003BF700000000000000000000000000000000078 -S3154003BF800000000000000000000000000000000068 -S3154003BF900000000000000000000000000000000058 -S3154003BFA00000000000000000000000000000000048 -S3154003BFB00000000000000000000000000000000038 -S3154003BFC00000000000000000000000000000000028 -S3154003BFD00000000000000000000000000000000018 -S3154003BFE00000000000000000000000000000000008 -S3154003BFF000000000000000000000000000000000F8 -S3154003C00000000000000000000000000000000000E7 -S3154003C01000000000000000000000000000000000D7 -S3154003C02000000000000000000000000000000000C7 -S3154003C03000000000000000000000000000000000B7 -S3154003C04000000000000000000000000000000000A7 -S3154003C0500000000000000000000000000000000097 -S3154003C0600000000000000000000000000000000087 -S3154003C0700000000000000000000000000000000077 -S3154003C0800000000000000000000000000000000067 -S3154003C0900000000000000000000000000000000057 -S3154003C0A00000000000000000000000000000000047 -S3154003C0B00000000000000000000000000000000037 -S3154003C0C00000000000000000000000000000000027 -S3154003C0D00000000000000000000000000000000017 -S3154003C0E00000000000000000000000000000000007 -S3154003C0F000000000000000000000000000000000F7 -S3154003C10000000000000000000000000000000000E6 -S3154003C11000000000000000000000000000000000D6 -S3154003C12000000000000000000000000000000000C6 -S3154003C13000000000000000000000000000000000B6 -S3154003C14000000000000000000000000000000000A6 -S3154003C1500000000000000000000000000000000096 -S3154003C1600000000000000000000000000000000086 -S3154003C1700000000000000000000000000000000076 -S3154003C1800000000000000000000000000000000066 -S3154003C1900000000000000000000000000000000056 -S3154003C1A00000000000000000000000000000000046 -S3154003C1B00000000000000000000000000000000036 -S3154003C1C00000000000000000000000000000000026 -S3154003C1D00000000000000000000000000000000016 -S3154003C1E00000000000000000000000000000000006 -S3154003C1F000000000000000000000000000000000F6 -S3154003C20000000000000000000000000000000000E5 -S3154003C21000000000000000000000000000000000D5 -S3154003C22000000000000000000000000000000000C5 -S3154003C23000000000000000000000000000000000B5 -S3154003C24000000000000000000000000000000000A5 -S3154003C2500000000000000000000000000000000095 -S3154003C2600000000000000000000000000000000085 -S3154003C2700000000000000000000000000000000075 -S3154003C2800000000000000000000000000000000065 -S3154003C2900000000000000000000000000000000055 -S3154003C2A00000000000000000000000000000000045 -S3154003C2B00000000000000000000000000000000035 -S3154003C2C00000000000000000000000000000000025 -S3154003C2D00000000000000000000000000000000015 -S3154003C2E00000000000000000000000000000000005 -S3154003C2F000000000000000000000000000000000F5 -S3154003C30000000000000000000000000000000000E4 -S3154003C31000000000000000000000000000000000D4 -S3154003C32000000000000000000000000000000000C4 -S3154003C33000000000000000000000000000000000B4 -S3154003C34000000000000000000000000000000000A4 -S3154003C3500000000000000000000000000000000094 -S3154003C3600000000000000000000000000000000084 -S3154003C3700000000000000000000000000000000074 -S3154003C3800000000000000000000000000000000064 -S3154003C3900000000000000000000000000000000054 -S3154003C3A00000000000000000000000000000000044 -S3154003C3B00000000000000000000000000000000034 -S3154003C3C00000000000000000000000000000000024 -S3154003C3D00000000000000000000000000000000014 -S3154003C3E00000000000000000000000000000000004 -S3154003C3F000000000000000000000000000000000F4 -S3154003C40000000000000000000000000000000000E3 -S3154003C41000000000000000000000000000000000D3 -S3154003C42000000000000000000000000000000000C3 -S3154003C43000000000000000000000000000000000B3 -S3154003C44000000000000000000000000000000000A3 -S3154003C4500000000000000000000000000000000093 -S3154003C4600000000000000000000000000000000083 -S3154003C4700000000000000000000000000000000073 -S3154003C4800000000000000000000000000000000063 -S3154003C4900000000000000000000000000000000053 -S3154003C4A00000000000000000000000000000000043 -S3154003C4B00000000000000000000000000000000033 -S3154003C4C00000000000000000000000000000000023 -S3154003C4D00000000000000000000000000000000013 -S3154003C4E00000000000000000000000000000000003 -S3154003C4F000000000000000000000000000000000F3 -S3154003C50000000000000000000000000000000000E2 -S3154003C51000000000000000000000000000000000D2 -S3154003C52000000000000000000000000000000000C2 -S3154003C53000000000000000000000000000000000B2 -S3154003C54000000000000000000000000000000000A2 -S3154003C5500000000000000000000000000000000092 -S3154003C5600000000000000000000000000000000082 -S3154003C5700000000000000000000000000000000072 -S3154003C5800000000000000000000000000000000062 -S3154003C5900000000000000000000000000000000052 -S3154003C5A00000000000000000000000000000000042 -S3154003C5B00000000000000000000000000000000032 -S3154003C5C00000000000000000000000000000000022 -S3154003C5D00000000000000000000000000000000012 -S3154003C5E00000000000000000000000000000000002 -S3154003C5F000000000000000000000000000000000F2 -S3154003C60000000000000000000000000000000000E1 -S3154003C61000000000000000000000000000000000D1 -S3154003C62000000000000000000000000000000000C1 -S3154003C63000000000000000000000000000000000B1 -S3154003C64000000000000000000000000000000000A1 -S3154003C6500000000000000000000000000000000091 -S3154003C6600000000000000000000000000000000081 -S3154003C6700000000000000000000000000000000071 -S3154003C6800000000000000000000000000000000061 -S3154003C6900000000000000000000000000000000051 -S3154003C6A00000000000000000000000000000000041 -S3154003C6B00000000000000000000000000000000031 -S3154003C6C00000000000000000000000000000000021 -S3154003C6D00000000000000000000000000000000011 -S3154003C6E00000000000000000000000000000000001 -S3154003C6F000000000000000000000000000000000F1 -S3154003C70000000000000000000000000000000000E0 -S3154003C71000000000000000000000000000000000D0 -S3154003C72000000000000000000000000000000000C0 -S3154003C73000000000000000000000000000000000B0 -S3154003C74000000000000000000000000000000000A0 -S3154003C7500000000000000000000000000000000090 -S3154003C7600000000000000000000000000000000080 -S3154003C7700000000000000000000000000000000070 -S3154003C7800000000000000000000000000000000060 -S3154003C7900000000000000000000000000000000050 -S3154003C7A00000000000000000000000000000000040 -S3154003C7B00000000000000000000000000000000030 -S3154003C7C00000000000000000000000000000000020 -S3154003C7D00000000000000000000000000000000010 -S3154003C7E00000000000000000000000000000000000 -S3154003C7F000000000000000000000000000000000F0 -S3154003C80000000000000000000000000000000000DF -S3154003C81000000000000000000000000000000000CF -S3154003C82000000000000000000000000000000000BF -S3154003C83000000000000000000000000000000000AF -S3154003C840000000000000000000000000000000009F -S3154003C850000000000000000000000000000000008F -S3154003C860000000000000000000000000000000007F -S3154003C870000000000000000000000000000000006F -S3154003C880000000000000000000000000000000005F -S3154003C890000000000000000000000000000000004F -S3154003C8A0000000000000000000000000000000003F -S3154003C8B0000000000000000000000000000000002F -S3154003C8C0000000000000000000000000000000001F -S3154003C8D0000000000000000000000000000000000F -S3154003C8E000000000000000000000000000000000FF -S3154003C8F000000000000000000000000000000000EF -S3154003C90000000000000000000000000000000000DE -S3154003C91000000000000000000000000000000000CE -S3154003C92000000000000000000000000000000000BE -S3154003C93000000000000000000000000000000000AE -S3154003C940000000000000000000000000000000009E -S3154003C950000000000000000000000000000000008E -S3154003C960000000000000000000000000000000007E -S3154003C970000000000000000000000000000000006E -S3154003C980000000000000000000000000000000005E -S3154003C990000000000000000000000000000000004E -S3154003C9A0000000000000000000000000000000003E -S3154003C9B0000000000000000000000000000000002E -S3154003C9C0000000000000000000000000000000001E -S3154003C9D0000000000000000000000000000000000E -S3154003C9E000000000000000000000000000000000FE -S3154003C9F000000000000000000000000000000000EE -S3154003CA0000000000000000000000000000000000DD -S3154003CA1000000000000000000000000000000000CD -S3154003CA2000000000000000000000000000000000BD -S3154003CA3000000000000000000000000000000000AD -S3154003CA40000000000000000000000000000000009D -S3154003CA50000000000000000000000000000000008D -S3154003CA60000000000000000000000000000000007D -S3154003CA70000000000000000000000000000000006D -S3154003CA80000000000000000000000000000000005D -S3154003CA90000000000000000000000000000000004D -S3154003CAA0000000000000000000000000000000003D -S3154003CAB0000000000000000000000000000000002D -S3154003CAC0000000000000000000000000000000001D -S3154003CAD0000000000000000000000000000000000D -S3154003CAE000000000000000000000000000000000FD -S3154003CAF000000000000000000000000000000000ED -S3154003CB0000000000000000000000000000000000DC -S3154003CB1000000000000000000000000000000000CC -S3154003CB2000000000000000000000000000000000BC -S3154003CB3000000000000000000000000000000000AC -S3154003CB40000000000000000000000000000000009C -S3154003CB50000000000000000000000000000000008C -S3154003CB60000000000000000000000000000000007C -S3154003CB70000000000000000000000000000000006C -S3154003CB80000000000000000000000000000000005C -S3154003CB90000000000000000000000000000000004C -S3154003CBA0000000000000000000000000000000003C -S3154003CBB0000000000000000000000000000000002C -S3154003CBC0000000000000000000000000000000001C -S3154003CBD0000000000000000000000000000000000C -S3154003CBE000000000000000000000000000000000FC -S3154003CBF000000000000000000000000000000000EC -S3154003CC0000000000000000000000000000000000DB -S3154003CC1000000000000000000000000000000000CB -S3154003CC2000000000000000000000000000000000BB -S3154003CC3000000000000000000000000000000000AB -S3154003CC40000000000000000000000000000000009B -S3154003CC50000000000000000000000000000000008B -S3154003CC60000000000000000000000000000000007B -S3154003CC70000000000000000000000000000000006B -S3154003CC80000000000000000000000000000000005B -S3154003CC90000000000000000000000000000000004B -S3154003CCA0000000000000000000000000000000003B -S3154003CCB0000000000000000000000000000000002B -S3154003CCC0000000000000000000000000000000001B -S3154003CCD0000000000000000000000000000000000B -S3154003CCE000000000000000000000000000000000FB -S3154003CCF000000000000000000000000000000000EB -S3154003CD0000000000000000000000000000000000DA -S3154003CD1000000000000000000000000000000000CA -S3154003CD2000000000000000000000000000000000BA -S3154003CD3000000000000000000000000000000000AA -S3154003CD40000000000000000000000000000000009A -S3154003CD50000000000000000000000000000000008A -S3154003CD60000000000000000000000000000000007A -S3154003CD70000000000000000000000000000000006A -S3154003CD80000000000000000000000000000000005A -S3154003CD90000000000000000000000000000000004A -S3154003CDA0000000000000000000000000000000003A -S3154003CDB0000000000000000000000000000000002A -S3154003CDC0000000000000000000000000000000001A -S3154003CDD0000000000000000000000000000000000A -S3154003CDE000000000000000000000000000000000FA -S3154003CDF000000000000000000000000000000000EA -S3154003CE0000000000000000000000000000000000D9 -S3154003CE1000000000000000000000000000000000C9 -S3154003CE2000000000000000000000000000000000B9 -S3154003CE3000000000000000000000000000000000A9 -S3154003CE400000000000000000000000000000000099 -S3154003CE500000000000000000000000000000000089 -S3154003CE600000000000000000000000000000000079 -S3154003CE700000000000000000000000000000000069 -S3154003CE800000000000000000000000000000000059 -S3154003CE900000000000000000000000000000000049 -S3154003CEA00000000000000000000000000000000039 -S3154003CEB00000000000000000000000000000000029 -S3154003CEC00000000000000000000000000000000019 -S3154003CED00000000000000000000000000000000009 -S3154003CEE000000000000000000000000000000000F9 -S3154003CEF000000000000000000000000000000000E9 -S3154003CF0000000000000000000000000000000000D8 -S3154003CF1000000000000000000000000000000000C8 -S3154003CF2000000000000000000000000000000000B8 -S3154003CF3000000000000000000000000000000000A8 -S3154003CF400000000000000000000000000000000098 -S3154003CF500000000000000000000000000000000088 -S3154003CF600000000000000000000000000000000078 -S3154003CF700000000000000000000000000000000068 -S3154003CF800000000000000000000000000000000058 -S3154003CF900000000000000000000000000000000048 -S3154003CFA00000000000000000000000000000000038 -S3154003CFB00000000000000000000000000000000028 -S3154003CFC00000000000000000000000000000000018 -S3154003CFD00000000000000000000000000000000008 -S3154003CFE000000000000000000000000000000000F8 -S3154003CFF000000000000000000000000000000000E8 -S3154003D00000000000000000000000000000000000D7 -S3154003D01000000000000000000000000000000000C7 -S3154003D02000000000000000000000000000000000B7 -S3154003D03000000000000000000000000000000000A7 -S3154003D0400000000000000000000000000000000097 -S3154003D0500000000000000000000000000000000087 -S3154003D0600000000000000000000000000000000077 -S3154003D0700000000000000000000000000000000067 -S3154003D0800000000000000000000000000000000057 -S3154003D0900000000000000000000000000000000047 -S3154003D0A00000000000000000000000000000000037 -S3154003D0B00000000000000000000000000000000027 -S3154003D0C00000000000000000000000000000000017 -S3154003D0D00000000000000000000000000000000007 -S3154003D0E000000000000000000000000000000000F7 -S3154003D0F000000000000000000000000000000000E7 -S3154003D10000000000000000000000000000000000D6 -S3154003D11000000000000000000000000000000000C6 -S3154003D12000000000000000000000000000000000B6 -S3154003D13000000000000000000000000000000000A6 -S3154003D1400000000000000000000000000000000096 -S3154003D1500000000000000000000000000000000086 -S3154003D1600000000000000000000000000000000076 -S3154003D1700000000000000000000000000000000066 -S3154003D1800000000000000000000000000000000056 -S3154003D1900000000000000000000000000000000046 -S3154003D1A00000000000000000000000000000000036 -S3154003D1B00000000000000000000000000000000026 -S3154003D1C00000000000000000000000000000000016 -S3154003D1D00000000000000000000000000000000006 -S3154003D1E000000000000000000000000000000000F6 -S3154003D1F000000000000000000000000000000000E6 -S3154003D20000000000000000000000000000000000D5 -S3154003D21000000000000000000000000000000000C5 -S3154003D22000000000000000000000000000000000B5 -S3154003D23000000000000000000000000000000000A5 -S3154003D2400000000000000000000000000000000095 -S3154003D2500000000000000000000000000000000085 -S3154003D2600000000000000000000000000000000075 -S3154003D2700000000000000000000000000000000065 -S3154003D2800000000000000000000000000000000055 -S3154003D2900000000000000000000000000000000045 -S3154003D2A00000000000000000000000000000000035 -S3154003D2B00000000000000000000000000000000025 -S3154003D2C00000000000000000000000000000000015 -S3154003D2D00000000000000000000000000000000005 -S3154003D2E000000000000000000000000000000000F5 -S3154003D2F000000000000000000000000000000000E5 -S3154003D30000000000000000000000000000000000D4 -S3154003D31000000000000000000000000000000000C4 -S3154003D32000000000000000000000000000000000B4 -S3154003D33000000000000000000000000000000000A4 -S3154003D3400000000000000000000000000000000094 -S3154003D3500000000000000000000000000000000084 -S3154003D3600000000000000000000000000000000074 -S3154003D3700000000000000000000000000000000064 -S3154003D3800000000000000000000000000000000054 -S3154003D3900000000000000000000000000000000044 -S3154003D3A00000000000000000000000000000000034 -S3154003D3B00000000000000000000000000000000024 -S3154003D3C00000000000000000000000000000000014 -S3154003D3D00000000000000000000000000000000004 -S3154003D3E000000000000000000000000000000000F4 -S3154003D3F000000000000000000000000000000000E4 -S3154003D40000000000000000000000000000000000D3 -S3154003D41000000000000000000000000000000000C3 -S3154003D42000000000000000000000000000000000B3 -S3154003D43000000000000000000000000000000000A3 -S3154003D4400000000000000000000000000000000093 -S3154003D4500000000000000000000000000000000083 -S3154003D4600000000000000000000000000000000073 -S3154003D4700000000000000000000000000000000063 -S3154003D4800000000000000000000000000000000053 -S3154003D4900000000000000000000000000000000043 -S3154003D4A00000000000000000000000000000000033 -S3154003D4B00000000000000000000000000000000023 -S3154003D4C00000000000000000000000000000000013 -S3154003D4D00000000000000000000000000000000003 -S3154003D4E000000000000000000000000000000000F3 -S3154003D4F000000000000000000000000000000000E3 -S3154003D50000000000000000000000000000000000D2 -S3154003D51000000000000000000000000000000000C2 -S3154003D52000000000000000000000000000000000B2 -S3154003D53000000000000000000000000000000000A2 -S3154003D5400000000000000000000000000000000092 -S3154003D5500000000000000000000000000000000082 -S3154003D5600000000000000000000000000000000072 -S3154003D5700000000000000000000000000000000062 -S3154003D5800000000000000000000000000000000052 -S3154003D5900000000000000000000000000000000042 -S3154003D5A00000000000000000000000000000000032 -S3154003D5B00000000000000000000000000000000022 -S3154003D5C00000000000000000000000000000000012 -S3154003D5D00000000000000000000000000000000002 -S3154003D5E000000000000000000000000000000000F2 -S3154003D5F000000000000000000000000000000000E2 -S3154003D60000000000000000000000000000000000D1 -S3154003D61000000000000000000000000000000000C1 -S3154003D62000000000000000000000000000000000B1 -S3154003D63000000000000000000000000000000000A1 -S3154003D6400000000000000000000000000000000091 -S3154003D6500000000000000000000000000000000081 -S3154003D6600000000000000000000000000000000071 -S3154003D6700000000000000000000000000000000061 -S3154003D6800000000000000000000000000000000051 -S3154003D6900000000000000000000000000000000041 -S3154003D6A00000000000000000000000000000000031 -S3154003D6B00000000000000000000000000000000021 -S3154003D6C00000000000000000000000000000000011 -S3154003D6D00000000000000000000000000000000001 -S3154003D6E000000000000000000000000000000000F1 -S3154003D6F000000000000000000000000000000000E1 -S3154003D70000000000000000000000000000000000D0 -S3154003D71000000000000000000000000000000000C0 -S3154003D72000000000000000000000000000000000B0 -S3154003D73000000000000000000000000000000000A0 -S3154003D7400000000000000000000000000000000090 -S3154003D7500000000000000000000000000000000080 -S3154003D7600000000000000000000000000000000070 -S3154003D7700000000000000000000000000000000060 -S3154003D7800000000000000000000000000000000050 -S3154003D7900000000000000000000000000000000040 -S3154003D7A00000000000000000000000000000000030 -S3154003D7B00000000000000000000000000000000020 -S3154003D7C00000000000000000000000000000000010 -S3154003D7D00000000000000000000000000000000000 -S3154003D7E000000000000000000000000000000000F0 -S3154003D7F000000000000000000000000000000000E0 -S3154003D80000000000000000000000000000000000CF -S3154003D81000000000000000000000000000000000BF -S3154003D82000000000000000000000000000000000AF -S3154003D830000000000000000000000000000000009F -S3154003D840000000000000000000000000000000008F -S3154003D850000000000000000000000000000000007F -S3154003D860000000000000000000000000000000006F -S3154003D870000000000000000000000000000000005F -S3154003D880000000000000000000000000000000004F -S3154003D890000000000000000000000000000000003F -S3154003D8A0000000000000000000000000000000002F -S3154003D8B0000000000000000000000000000000001F -S3154003D8C0000000000000000000000000000000000F -S3154003D8D000000000000000000000000000000000FF -S3154003D8E000000000000000000000000000000000EF -S3154003D8F000000000000000000000000000000000DF -S3154003D90000000000000000000000000000000000CE -S3154003D91000000000000000000000000000000000BE -S3154003D92000000000000000000000000000000000AE -S3154003D930000000000000000000000000000000009E -S3154003D940000000000000000000000000000000008E -S3154003D950000000000000000000000000000000007E -S3154003D960000000000000000000000000000000006E -S3154003D970000000000000000000000000000000005E -S3154003D980000000000000000000000000000000004E -S3154003D990000000000000000000000000000000003E -S3154003D9A0000000000000000000000000000000002E -S3154003D9B0000000000000000000000000000000001E -S3154003D9C0000000000000000000000000000000000E -S3154003D9D000000000000000000000000000000000FE -S3154003D9E000000000000000000000000000000000EE -S3154003D9F000000000000000000000000000000000DE -S3154003DA0000000000000000000000000000000000CD -S3154003DA1000000000000000000000000000000000BD -S3154003DA2000000000000000000000000000000000AD -S3154003DA30000000000000000000000000000000009D -S3154003DA40000000000000000000000000000000008D -S3154003DA50000000000000000000000000000000007D -S3154003DA60000000000000000000000000000000006D -S3154003DA70000000000000000000000000000000005D -S3154003DA80000000000000000000000000000000004D -S3154003DA90000000000000000000000000000000003D -S3154003DAA0000000000000000000000000000000002D -S3154003DAB0000000000000000000000000000000001D -S3154003DAC0000000000000000000000000000000000D -S3154003DAD000000000000000000000000000000000FD -S3154003DAE000000000000000000000000000000000ED -S3154003DAF000000000000000000000000000000000DD -S3154003DB0000000000000000000000000000000000CC -S3154003DB1000000000000000000000000000000000BC -S3154003DB2000000000000000000000000000000000AC -S3154003DB30000000000000000000000000000000009C -S3154003DB40000000000000000000000000000000008C -S3154003DB50000000000000000000000000000000007C -S3154003DB60000000000000000000000000000000006C -S3154003DB70000000000000000000000000000000005C -S3154003DB80000000000000000000000000000000004C -S3154003DB90000000000000000000000000000000003C -S3154003DBA0000000000000000000000000000000002C -S3154003DBB0000000000000000000000000000000001C -S3154003DBC0000000000000000000000000000000000C -S3154003DBD000000000000000000000000000000000FC -S3154003DBE000000000000000000000000000000000EC -S3154003DBF000000000000000000000000000000000DC -S3154003DC0000000000000000000000000000000000CB -S3154003DC1000000000000000000000000000000000BB -S3154003DC2000000000000000000000000000000000AB -S3154003DC30000000000000000000000000000000009B -S3154003DC40000000000000000000000000000000008B -S3154003DC50000000000000000000000000000000007B -S3154003DC60000000000000000000000000000000006B -S3154003DC70000000000000000000000000000000005B -S3154003DC80000000000000000000000000000000004B -S3154003DC90000000000000000000000000000000003B -S3154003DCA0000000000000000000000000000000002B -S3154003DCB0000000000000000000000000000000001B -S3154003DCC0000000000000000000000000000000000B -S3154003DCD000000000000000000000000000000000FB -S3154003DCE000000000000000000000000000000000EB -S3154003DCF000000000000000000000000000000000DB -S3154003DD0000000000000000000000000000000000CA -S3154003DD1000000000000000000000000000000000BA -S3154003DD2000000000000000000000000000000000AA -S3154003DD30000000000000000000000000000000009A -S3154003DD40000000000000000000000000000000008A -S3154003DD50000000000000000000000000000000007A -S3154003DD60000000000000000000000000000000006A -S3154003DD70000000000000000000000000000000005A -S3154003DD80000000000000000000000000000000004A -S3154003DD90000000000000000000000000000000003A -S3154003DDA0000000000000000000000000000000002A -S3154003DDB0000000000000000000000000000000001A -S3154003DDC0000000000000000000000000000000000A -S3154003DDD000000000000000000000000000000000FA -S3154003DDE000000000000000000000000000000000EA -S3154003DDF000000000000000000000000000000000DA -S3154003DE0000000000000000000000000000000000C9 -S3154003DE1000000000000000000000000000000000B9 -S3154003DE2000000000000000000000000000000000A9 -S3154003DE300000000000000000000000000000000099 -S3154003DE400000000000000000000000000000000089 -S3154003DE500000000000000000000000000000000079 -S3154003DE600000000000000000000000000000000069 -S3154003DE700000000000000000000000000000000059 -S3154003DE800000000000000000000000000000000049 -S3154003DE900000000000000000000000000000000039 -S3154003DEA00000000000000000000000000000000029 -S3154003DEB00000000000000000000000000000000019 -S3154003DEC00000000000000000000000000000000009 -S3154003DED000000000000000000000000000000000F9 -S3154003DEE000000000000000000000000000000000E9 -S3154003DEF000000000000000000000000000000000D9 -S3154003DF0000000000000000000000000000000000C8 -S3154003DF1000000000000000000000000000000000B8 -S3154003DF2000000000000000000000000000000000A8 -S3154003DF300000000000000000000000000000000098 -S3154003DF400000000000000000000000000000000088 -S3154003DF500000000000000000000000000000000078 -S3154003DF600000000000000000000000000000000068 -S3154003DF700000000000000000000000000000000058 -S3154003DF800000000000000000000000000000000048 -S3154003DF900000000000000000000000000000000038 -S3154003DFA00000000000000000000000000000000028 -S3154003DFB00000000000000000000000000000000018 -S3154003DFC00000000000000000000000000000000008 -S3154003DFD000000000000000000000000000000000F8 -S3154003DFE000000000000000000000000000000000E8 -S3154003DFF000000000000000000000000000000000D8 -S3154003E00000000000000000000000000000000000C7 -S3154003E01000000000000000000000000000000000B7 -S3154003E02000000000000000000000000000000000A7 -S3154003E0300000000000000000000000000000000097 -S3154003E0400000000000000000000000000000000087 -S3154003E0500000000000000000000000000000000077 -S3154003E0600000000000000000000000000000000067 -S3154003E0700000000000000000000000000000000057 -S3154003E0800000000000000000000000000000000047 -S3154003E0900000000000000000000000000000000037 -S3154003E0A00000000000000000000000000000000027 -S3154003E0B00000000000000000000000000000000017 -S3154003E0C00000000000000000000000000000000007 -S3154003E0D000000000000000000000000000000000F7 -S3154003E0E000000000000000000000000000000000E7 -S3154003E0F000000000000000000000000000000000D7 -S3154003E10000000000000000000000000000000000C6 -S3154003E11000000000000000000000000000000000B6 -S3154003E12000000000000000000000000000000000A6 -S3154003E1300000000000000000000000000000000096 -S3154003E1400000000000000000000000000000000086 -S3154003E1500000000000000000000000000000000076 -S3154003E1600000000000000000000000000000000066 -S3154003E1700000000000000000000000000000000056 -S3154003E1800000000000000000000000000000000046 -S3154003E1900000000000000000000000000000000036 -S3154003E1A00000000000000000000000000000000026 -S3154003E1B00000000000000000000000000000000016 -S3154003E1C00000000000000000000000000000000006 -S3154003E1D000000000000000000000000000000000F6 -S3154003E1E000000000000000000000000000000000E6 -S3154003E1F000000000000000000000000000000000D6 -S3154003E20000000000000000000000000000000000C5 -S3154003E21000000000000000000000000000000000B5 -S3154003E22000000000000000000000000000000000A5 -S3154003E2300000000000000000000000000000000095 -S3154003E2400000000000000000000000000000000085 -S3154003E2500000000000000000000000000000000075 -S3154003E2600000000000000000000000000000000065 -S3154003E2700000000000000000000000000000000055 -S3154003E2800000000000000000000000000000000045 -S3154003E2900000000000000000000000000000000035 -S3154003E2A00000000000000000000000000000000025 -S3154003E2B00000000000000000000000000000000015 -S3154003E2C00000000000000000000000000000000005 -S3154003E2D000000000000000000000000000000000F5 -S3154003E2E000000000000000000000000000000000E5 -S3154003E2F000000000000000000000000000000000D5 -S3154003E30000000000000000000000000000000000C4 -S3154003E31000000000000000000000000000000000B4 -S3154003E32000000000000000000000000000000000A4 -S3154003E3300000000000000000000000000000000094 -S3154003E3400000000000000000000000000000000084 -S3154003E3500000000000000000000000000000000074 -S3154003E3600000000000000000000000000000000064 -S3154003E3700000000000000000000000000000000054 -S3154003E3800000000000000000000000000000000044 -S3154003E3900000000000000000000000000000000034 -S3154003E3A00000000000000000000000000000000024 -S3154003E3B00000000000000000000000000000000014 -S3154003E3C00000000000000000000000000000000004 -S3154003E3D000000000000000000000000000000000F4 -S3154003E3E000000000000000000000000000000000E4 -S3154003E3F000000000000000000000000000000000D4 -S3154003E40000000000000000000000000000000000C3 -S3154003E41000000000000000000000000000000000B3 -S3154003E42000000000000000000000000000000000A3 -S3154003E4300000000000000000000000000000000093 -S3154003E4400000000000000000000000000000000083 -S3154003E4500000000000000000000000000000000073 -S3154003E4600000000000000000000000000000000063 -S3154003E4700000000000000000000000000000000053 -S3154003E4800000000000000000000000000000000043 -S3154003E4900000000000000000000000000000000033 -S3154003E4A00000000000000000000000000000000023 -S3154003E4B00000000000000000000000000000000013 -S3154003E4C00000000000000000000000000000000003 -S3154003E4D000000000000000000000000000000000F3 -S3154003E4E000000000000000000000000000000000E3 -S3154003E4F000000000000000000000000000000000D3 -S3154003E50000000000000000000000000000000000C2 -S3154003E51000000000000000000000000000000000B2 -S3154003E52000000000000000000000000000000000A2 -S3154003E5300000000000000000000000000000000092 -S3154003E5400000000000000000000000000000000082 -S3154003E5500000000000000000000000000000000072 -S3154003E5600000000000000000000000000000000062 -S3154003E5700000000000000000000000000000000052 -S3154003E5800000000000000000000000000000000042 -S3154003E5900000000000000000000000000000000032 -S3154003E5A00000000000000000000000000000000022 -S3154003E5B00000000000000000000000000000000012 -S3154003E5C00000000000000000000000000000000002 -S3154003E5D000000000000000000000000000000000F2 -S3154003E5E000000000000000000000000000000000E2 -S3154003E5F000000000000000000000000000000000D2 -S3154003E60000000000000000000000000000000000C1 -S3154003E61000000000000000000000000000000000B1 -S3154003E62000000000000000000000000000000000A1 -S3154003E6300000000000000000000000000000000091 -S3154003E6400000000000000000000000000000000081 -S3154003E6500000000000000000000000000000000071 -S3154003E6600000000000000000000000000000000061 -S3154003E6700000000000000000000000000000000051 -S3154003E6800000000000000000000000000000000041 -S3154003E6900000000000000000000000000000000031 -S3154003E6A00000000000000000000000000000000021 -S3154003E6B00000000000000000000000000000000011 -S3154003E6C00000000000000000000000000000000001 -S3154003E6D000000000000000000000000000000000F1 -S3154003E6E000000000000000000000000000000000E1 -S3154003E6F000000000000000000000000000000000D1 -S3154003E70000000000000000000000000000000000C0 -S3154003E71000000000000000000000000000000000B0 -S3154003E72000000000000000000000000000000000A0 -S3154003E7300000000000000000000000000000000090 -S3154003E7400000000000000000000000000000000080 -S3154003E7500000000000000000000000000000000070 -S3154003E7600000000000000000000000000000000060 -S3154003E7700000000000000000000000000000000050 -S3154003E7800000000000000000000000000000000040 -S3154003E7900000000000000000000000000000000030 -S3154003E7A00000000000000000000000000000000020 -S3154003E7B00000000000000000000000000000000010 -S3154003E7C00000000000000000000000000000000000 -S3154003E7D000000000000000000000000000000000F0 -S3154003E7E000000000000000000000000000000000E0 -S3154003E7F000000000000000000000000000000000D0 -S3154003E80000000000000000000000000000000000BF -S3154003E81000000000000000000000000000000000AF -S3154003E820000000000000000000000000000000009F -S3154003E830000000000000000000000000000000008F -S3154003E840000000000000000000000000000000007F -S3154003E850000000000000000000000000000000006F -S3154003E860000000000000000000000000000000005F -S3154003E870000000000000000000000000000000004F -S3154003E880000000000000000000000000000000003F -S3154003E890000000000000000000000000000000002F -S3154003E8A0000000000000000000000000000000001F -S3154003E8B0000000000000000000000000000000000F -S3154003E8C000000000000000000000000000000000FF -S3154003E8D000000000000000000000000000000000EF -S3154003E8E000000000000000000000000000000000DF -S3154003E8F000000000000000000000000000000000CF -S3154003E90000000000000000000000000000000000BE -S3154003E91000000000000000000000000000000000AE -S3154003E920000000000000000000000000000000009E -S3154003E930000000000000000000000000000000008E -S3154003E940000000000000000000000000000000007E -S3154003E950000000000000000000000000000000006E -S3154003E960000000000000000000000000000000005E -S3154003E970000000000000000000000000000000004E -S3154003E980000000000000000000000000000000003E -S3154003E990000000000000000000000000000000002E -S3154003E9A0000000000000000000000000000000001E -S3154003E9B0000000000000000000000000000000000E -S3154003E9C000000000000000000000000000000000FE -S3154003E9D000000000000000000000000000000000EE -S3154003E9E000000000000000000000000000000000DE -S3154003E9F000000000000000000000000000000000CE -S3154003EA0000000000000000000000000000000000BD -S3154003EA1000000000000000000000000000000000AD -S3154003EA20000000000000000000000000000000009D -S3154003EA30000000000000000000000000000000008D -S3154003EA40000000000000000000000000000000007D -S3154003EA50000000000000000000000000000000006D -S3154003EA60000000000000000000000000000000005D -S3154003EA70000000000000000000000000000000004D -S3154003EA80000000000000000000000000000000003D -S3154003EA90000000000000000000000000000000002D -S3154003EAA0000000000000000000000000000000001D -S3154003EAB0000000000000000000000000000000000D -S3154003EAC000000000000000000000000000000000FD -S3154003EAD000000000000000000000000000000000ED -S3154003EAE000000000000000000000000000000000DD -S3154003EAF000000000000000000000000000000000CD -S3154003EB0000000000000000000000000000000000BC -S3154003EB1000000000000000000000000000000000AC -S3154003EB20000000000000000000000000000000009C -S3154003EB30000000000000000000000000000000008C -S3154003EB40000000000000000000000000000000007C -S3154003EB50000000000000000000000000000000006C -S3154003EB60000000000000000000000000000000005C -S3154003EB70000000000000000000000000000000004C -S3154003EB80000000000000000000000000000000003C -S3154003EB90000000000000000000000000000000002C -S3154003EBA0000000000000000000000000000000001C -S3154003EBB0000000000000000000000000000000000C -S3154003EBC000000000000000000000000000000000FC -S3154003EBD000000000000000000000000000000000EC -S3154003EBE000000000000000000000000000000000DC -S3154003EBF000000000000000000000000000000000CC -S3154003EC0000000000000000000000000000000000BB -S3154003EC1000000000000000000000000000000000AB -S3154003EC20000000000000000000000000000000009B -S3154003EC30000000000000000000000000000000008B -S3154003EC40000000000000000000000000000000007B -S3154003EC50000000000000000000000000000000006B -S3154003EC60000000000000000000000000000000005B -S3154003EC70000000000000000000000000000000004B -S3154003EC80000000000000000000000000000000003B -S3154003EC90000000000000000000000000000000002B -S3154003ECA0000000000000000000000000000000001B -S3154003ECB0000000000000000000000000000000000B -S3154003ECC000000000000000000000000000000000FB -S3154003ECD000000000000000000000000000000000EB -S3154003ECE000000000000000000000000000000000DB -S3154003ECF000000000000000000000000000000000CB -S3154003ED0000000000000000000000000000000000BA -S3154003ED1000000000000000000000000000000000AA -S3154003ED20000000000000000000000000000000009A -S3154003ED30000000000000000000000000000000008A -S3154003ED40000000000000000000000000000000007A -S3154003ED50000000000000000000000000000000006A -S3154003ED60000000000000000000000000000000005A -S3154003ED70000000000000000000000000000000004A -S3154003ED80000000000000000000000000000000003A -S3154003ED90000000000000000000000000000000002A -S3154003EDA0000000000000000000000000000000001A -S3154003EDB0000000000000000000000000000000000A -S3154003EDC000000000000000000000000000000000FA -S3154003EDD000000000000000000000000000000000EA -S3154003EDE000000000000000000000000000000000DA -S3154003EDF000000000000000000000000000000000CA -S3154003EE0000000000000000000000000000000000B9 -S3154003EE1000000000000000000000000000000000A9 -S3154003EE200000000000000000000000000000000099 -S3154003EE300000000000000000000000000000000089 -S3154003EE400000000000000000000000000000000079 -S3154003EE500000000000000000000000000000000069 -S3154003EE600000000000000000000000000000000059 -S3154003EE700000000000000000000000000000000049 -S3154003EE800000000000000000000000000000000039 -S3154003EE900000000000000000000000000000000029 -S3154003EEA00000000000000000000000000000000019 -S3154003EEB00000000000000000000000000000000009 -S3154003EEC000000000000000000000000000000000F9 -S3154003EED000000000000000000000000000000000E9 -S3154003EEE000000000000000000000000000000000D9 -S3154003EEF000000000000000000000000000000000C9 -S3154003EF0000000000000000000000000000000000B8 -S3154003EF1000000000000000000000000000000000A8 -S3154003EF200000000000000000000000000000000098 -S3154003EF300000000000000000000000000000000088 -S3154003EF400000000000000000000000000000000078 -S3154003EF500000000000000000000000000000000068 -S3154003EF600000000000000000000000000000000058 -S3154003EF700000000000000000000000000000000048 -S3154003EF800000000000000000000000000000000038 -S3154003EF900000000000000000000000000000000028 -S3154003EFA00000000000000000000000000000000018 -S3154003EFB00000000000000000000000000000000008 -S3154003EFC000000000000000000000000000000000F8 -S3154003EFD000000000000000000000000000000000E8 -S3154003EFE000000000000000000000000000000000D8 -S3154003EFF000000000000000000000000000000000C8 -S3154003F00000000000000000000000000000000000B7 -S3154003F01000000000000000000000000000000000A7 -S3154003F0200000000000000000000000000000000097 -S3154003F0300000000000000000000000000000000087 -S3154003F0400000000000000000000000000000000077 -S3154003F0500000000000000000000000000000000067 -S3154003F0600000000000000000000000000000000057 -S3154003F0700000000000000000000000000000000047 -S3154003F0800000000000000000000000000000000037 -S3154003F0900000000000000000000000000000000027 -S3154003F0A00000000000000000000000000000000017 -S3154003F0B00000000000000000000000000000000007 -S3154003F0C000000000000000000000000000000000F7 -S3154003F0D000000000000000000000000000000000E7 -S3154003F0E000000000000000000000000000000000D7 -S3154003F0F000000000000000000000000000000000C7 -S3154003F10000000000000000000000000000000000B6 -S3154003F11000000000000000000000000000000000A6 -S3154003F1200000000000000000000000000000000096 -S3154003F1300000000000000000000000000000000086 -S3154003F1400000000000000000000000000000000076 -S3154003F1500000000000000000000000000000000066 -S3154003F1600000000000000000000000000000000056 -S3154003F1700000000000000000000000000000000046 -S3154003F1800000000000000000000000000000000036 -S3154003F1900000000000000000000000000000000026 -S3154003F1A00000000000000000000000000000000016 -S3154003F1B00000000000000000000000000000000006 -S3154003F1C000000000000000000000000000000000F6 -S3154003F1D000000000000000000000000000000000E6 -S3154003F1E000000000000000000000000000000000D6 -S3154003F1F000000000000000000000000000000000C6 -S3154003F20000000000000000000000000000000000B5 -S3154003F21000000000000000000000000000000000A5 -S3154003F2200000000000000000000000000000000095 -S3154003F2300000000000000000000000000000000085 -S3154003F2400000000000000000000000000000000075 -S3154003F2500000000000000000000000000000000065 -S3154003F2600000000000000000000000000000000055 -S3154003F2700000000000000000000000000000000045 -S3154003F2800000000000000000000000000000000035 -S3154003F2900000000000000000000000000000000025 -S3154003F2A00000000000000000000000000000000015 -S3154003F2B00000000000000000000000000000000005 -S3154003F2C000000000000000000000000000000000F5 -S3154003F2D000000000000000000000000000000000E5 -S3154003F2E000000000000000000000000000000000D5 -S3154003F2F000000000000000000000000000000000C5 -S3154003F30000000000000000000000000000000000B4 -S3154003F31000000000000000000000000000000000A4 -S3154003F3200000000000000000000000000000000094 -S3154003F3300000000000000000000000000000000084 -S3154003F3400000000000000000000000000000000074 -S3154003F3500000000000000000000000000000000064 -S3154003F3600000000000000000000000000000000054 -S3154003F3700000000000000000000000000000000044 -S3154003F3800000000000000000000000000000000034 -S3154003F3900000000000000000000000000000000024 -S3154003F3A00000000000000000000000000000000014 -S3154003F3B00000000000000000000000000000000004 -S3154003F3C000000000000000000000000000000000F4 -S3154003F3D000000000000000000000000000000000E4 -S3154003F3E000000000000000000000000000000000D4 -S3154003F3F000000000000000000000000000000000C4 -S3154003F40000000000000000000000000000000000B3 -S3154003F41000000000000000000000000000000000A3 -S3154003F4200000000000000000000000000000000093 -S3154003F4300000000000000000000000000000000083 -S3154003F4400000000000000000000000000000000073 -S3154003F4500000000000000000000000000000000063 -S3154003F4600000000000000000000000000000000053 -S3154003F4700000000000000000000000000000000043 -S3154003F4800000000000000000000000000000000033 -S3154003F4900000000000000000000000000000000023 -S3154003F4A00000000000000000000000000000000013 -S3154003F4B00000000000000000000000000000000003 -S3154003F4C000000000000000000000000000000000F3 -S3154003F4D000000000000000000000000000000000E3 -S3154003F4E000000000000000000000000000000000D3 -S3154003F4F000000000000000000000000000000000C3 -S3154003F50000000000000000000000000000000000B2 -S3154003F51000000000000000000000000000000000A2 -S3154003F5200000000000000000000000000000000092 -S3154003F5300000000000000000000000000000000082 -S3154003F5400000000000000000000000000000000072 -S3154003F5500000000000000000000000000000000062 -S3154003F5600000000000000000000000000000000052 -S3154003F5700000000000000000000000000000000042 -S3154003F5800000000000000000000000000000000032 -S3154003F5900000000000000000000000000000000022 -S3154003F5A00000000000000000000000000000000012 -S3154003F5B00000000000000000000000000000000002 -S3154003F5C000000000000000000000000000000000F2 -S3154003F5D000000000000000000000000000000000E2 -S3154003F5E000000000000000000000000000000000D2 -S3154003F5F000000000000000000000000000000000C2 -S3154003F60000000000000000000000000000000000B1 -S3154003F61000000000000000000000000000000000A1 -S3154003F6200000000000000000000000000000000091 -S3154003F6300000000000000000000000000000000081 -S3154003F6400000000000000000000000000000000071 -S3154003F6500000000000000000000000000000000061 -S3154003F6600000000000000000000000000000000051 -S3154003F6700000000000000000000000000000000041 -S3154003F6800000000000000000000000000000000031 -S3154003F6900000000000000000000000000000000021 -S3154003F6A00000000000000000000000000000000011 -S3154003F6B00000000000000000000000000000000001 -S3154003F6C000000000000000000000000000000000F1 -S3154003F6D000000000000000000000000000000000E1 -S3154003F6E000000000000000000000000000000000D1 -S3154003F6F000000000000000000000000000000000C1 -S3154003F70000000000000000000000000000000000B0 -S3154003F71000000000000000000000000000000000A0 -S3154003F7200000000000000000000000000000000090 -S3154003F7300000000000000000000000000000000080 -S3154003F7400000000000000000000000000000000070 -S3154003F7500000000000000000000000000000000060 -S3154003F7600000000000000000000000000000000050 -S3154003F7700000000000000000000000000000000040 -S3154003F7800000000000000000000000000000000030 -S3154003F7900000000000000000000000000000000020 -S3154003F7A00000000000000000000000000000000010 -S3154003F7B00000000000000000000000000000000000 -S3154003F7C000000000000000000000000000000000F0 -S3154003F7D000000000000000000000000000000000E0 -S3154003F7E000000000000000000000000000000000D0 -S3154003F7F000000000000000000000000000000000C0 -S3154003F80000000000000000000000000000000000AF -S3154003F810000000000000000000000000000000009F -S3154003F820000000000000000000000000000000008F -S3154003F830000000000000000000000000000000007F -S3154003F840000000000000000000000000000000006F -S3154003F850000000000000000000000000000000005F -S3154003F860000000000000000000000000000000004F -S3154003F870000000000000000000000000000000003F -S3154003F880000000000000000000000000000000002F -S3154003F890000000000000000000000000000000001F -S3154003F8A0000000000000000000000000000000000F -S3154003F8B000000000000000000000000000000000FF -S3154003F8C000000000000000000000000000000000EF -S3154003F8D000000000000000000000000000000000DF -S3154003F8E000000000000000000000000000000000CF -S3154003F8F000000000000000000000000000000000BF -S3154003F90000000000000000000000000000000000AE -S3154003F910000000000000000000000000000000009E -S3154003F920000000000000000000000000000000008E -S3154003F930000000000000000000000000000000007E -S3154003F940000000000000000000000000000000006E -S3154003F950000000000000000000000000000000005E -S3154003F960000000000000000000000000000000004E -S3154003F970000000000000000000000000000000003E -S3154003F980000000000000000000000000000000002E -S3154003F990000000000000000000000000000000001E -S3154003F9A0000000000000000000000000000000000E -S3154003F9B000000000000000000000000000000000FE -S3154003F9C000000000000000000000000000000000EE -S3154003F9D000000000000000000000000000000000DE -S3154003F9E000000000000000000000000000000000CE -S3154003F9F000000000000000000000000000000000BE -S3154003FA0000000000000000000000000000000000AD -S3154003FA10000000000000000000000000000000009D -S3154003FA20000000000000000000000000000000008D -S3154003FA30000000000000000000000000000000007D -S3154003FA40000000000000000000000000000000006D -S3154003FA50000000000000000000000000000000005D -S3154003FA60000000000000000000000000000000004D -S3154003FA70000000000000000000000000000000003D -S3154003FA80000000000000000000000000000000002D -S3154003FA90000000000000000000000000000000001D -S3154003FAA0000000000000000000000000000000000D -S3154003FAB000000000000000000000000000000000FD -S3154003FAC000000000000000000000000000000000ED -S3154003FAD000000000000000000000000000000000DD -S3154003FAE000000000000000000000000000000000CD -S3154003FAF000000000000000000000000000000000BD -S3154003FB0000000000000000000000000000000000AC -S3154003FB10000000000000000000000000000000009C -S3154003FB20000000000000000000000000000000008C -S3154003FB30000000000000000000000000000000007C -S3154003FB40000000000000000000000000000000006C -S3154003FB50000000000000000000000000000000005C -S3154003FB60000000000000000000000000000000004C -S3154003FB70000000000000000000000000000000003C -S3154003FB80000000000000000000000000000000002C -S3154003FB90000000000000000000000000000000001C -S3154003FBA0000000000000000000000000000000000C -S3154003FBB000000000000000000000000000000000FC -S3154003FBC000000000000000000000000000000000EC -S3154003FBD000000000000000000000000000000000DC -S3154003FBE000000000000000000000000000000000CC -S3154003FBF000000000000000000000000000000000BC -S3154003FC0000000000000000000000000000000000AB -S3154003FC10000000000000000000000000000000009B -S3154003FC20000000000000000000000000000000008B -S3154003FC30000000000000000000000000000000007B -S3154003FC40000000000000000000000000000000006B -S3154003FC50000000000000000000000000000000005B -S3154003FC60000000000000000000000000000000004B -S3154003FC70000000000000000000000000000000003B -S3154003FC80000000000000000000000000000000002B -S3154003FC90000000000000000000000000000000001B -S3154003FCA0000000000000000000000000000000000B -S3154003FCB000000000000000000000000000000000FB -S3154003FCC000000000000000000000000000000000EB -S3154003FCD000000000000000000000000000000000DB -S3154003FCE000000000000000000000000000000000CB -S3154003FCF000000000000000000000000000000000BB -S3154003FD0000000000000000000000000000000000AA -S3154003FD10000000000000000000000000000000009A -S3154003FD20000000000000000000000000000000008A -S3154003FD30000000000000000000000000000000007A -S3154003FD40000000000000000000000000000000006A -S3154003FD50000000000000000000000000000000005A -S3154003FD60000000000000000000000000000000004A -S3154003FD70000000000000000000000000000000003A -S3154003FD80000000000000000000000000000000002A -S3154003FD90000000000000000000000000000000001A -S3154003FDA0000000000000000000000000000000000A -S3154003FDB000000000000000000000000000000000FA -S3154003FDC000000000000000000000000000000000EA -S3154003FDD000000000000000000000000000000000DA -S3154003FDE000000000000000000000000000000000CA -S3154003FDF000000000000000000000000000000000BA -S3154003FE0000000000000000000000000000000000A9 -S3154003FE100000000000000000000000000000000099 -S3154003FE200000000000000000000000000000000089 -S3154003FE300000000000000000000000000000000079 -S3154003FE400000000000000000000000000000000069 -S3154003FE500000000000000000000000000000000059 -S3154003FE600000000000000000000000000000000049 -S3154003FE700000000000000000000000000000000039 -S3154003FE800000000000000000000000000000000029 -S3154003FE900000000000000000000000000000000019 -S3154003FEA00000000000000000000000000000000009 -S3154003FEB000000000000000000000000000000000F9 -S3154003FEC000000000000000000000000000000000E9 -S3154003FED000000000000000000000000000000000D9 -S3154003FEE000000000000000000000000000000000C9 -S3154003FEF000000000000000000000000000000000B9 -S3154003FF0000000000000000000000000000000000A8 -S3154003FF100000000000000000000000000000000098 -S3154003FF200000000000000000000000000000000088 -S3154003FF300000000000000000000000000000000078 -S3154003FF400000000000000000000000000000000068 -S3154003FF500000000000000000000000000000000058 -S3154003FF600000000000000000000000000000000048 -S3154003FF700000000000000000000000000000000038 -S3154003FF800000000000000000000000000000000028 -S3154003FF900000000000000000000000000000000018 -S3154003FFA00000000000000000000000000000000008 -S3154003FFB000000000000000000000000000000000F8 -S3154003FFC000000000000000000000000000000000E8 -S3154003FFD000000000000000000000000000000000D8 -S3154003FFE000000000000000000000000000000000C8 -S3154003FFF000000000000000000000000000000000B8 -S3154004000000000000000000000000000000000000A6 -S315400400100000000000000000000000000000000096 -S315400400200000000000000000000000000000000086 -S315400400300000000000000000000000000000000076 -S315400400400000000000000000000000000000000066 -S315400400500000000000000000000000000000000056 -S315400400600000000000000000000000000000000046 -S315400400700000000000000000000000000000000036 -S315400400800000000000000000000000000000000026 -S315400400900000000000000000000000000000000016 -S315400400A00000000000000000000000000000000006 -S315400400B000000000000000000000000000000000F6 -S315400400C000000000000000000000000000000000E6 -S315400400D000000000000000000000000000000000D6 -S315400400E000000000000000000000000000000000C6 -S315400400F000000000000000000000000000000000B6 -S3154004010000000000000000000000000000000000A5 -S315400401100000000000000000000000000000000095 -S315400401200000000000000000000000000000000085 -S315400401300000000000000000000000000000000075 -S315400401400000000000000000000000000000000065 -S315400401500000000000000000000000000000000055 -S315400401600000000000000000000000000000000045 -S315400401700000000000000000000000000000000035 -S315400401800000000000000000000000000000000025 -S315400401900000000000000000000000000000000015 -S315400401A00000000000000000000000000000000005 -S315400401B000000000000000000000000000000000F5 -S315400401C000000000000000000000000000000000E5 -S315400401D000000000000000000000000000000000D5 -S315400401E000000000000000000000000000000000C5 -S315400401F000000000000000000000000000000000B5 -S3154004020000000000000000000000000000000000A4 -S315400402100000000000000000000000000000000094 -S315400402200000000000000000000000000000000084 -S315400402300000000000000000000000000000000074 -S315400402400000000000000000000000000000000064 -S315400402500000000000000000000000000000000054 -S315400402600000000000000000000000000000000044 -S315400402700000000000000000000000000000000034 -S315400402800000000000000000000000000000000024 -S315400402900000000000000000000000000000000014 -S315400402A00000000000000000000000000000000004 -S315400402B000000000000000000000000000000000F4 -S315400402C000000000000000000000000000000000E4 -S315400402D000000000000000000000000000000000D4 -S315400402E000000000000000000000000000000000C4 -S315400402F000000000000000000000000000000000B4 -S3154004030000000000000000000000000000000000A3 -S315400403100000000000000000000000000000000093 -S315400403200000000000000000000000000000000083 -S315400403300000000000000000000000000000000073 -S315400403400000000000000000000000000000000063 -S315400403500000000000000000000000000000000053 -S315400403600000000000000000000000000000000043 -S315400403700000000000000000000000000000000033 -S315400403800000000000000000000000000000000023 -S315400403900000000000000000000000000000000013 -S315400403A00000000000000000000000000000000003 -S315400403B000000000000000000000000000000000F3 -S315400403C000000000000000000000000000000000E3 -S315400403D000000000000000000000000000000000D3 -S315400403E000000000000000000000000000000000C3 -S315400403F000000000000000000000000000000000B3 -S3154004040000000000000000000000000000000000A2 -S315400404100000000000000000000000000000000092 -S315400404200000000000000000000000000000000082 -S315400404300000000000000000000000000000000072 -S315400404400000000000000000000000000000000062 -S315400404500000000000000000000000000000000052 -S315400404600000000000000000000000000000000042 -S315400404700000000000000000000000000000000032 -S315400404800000000000000000000000000000000022 -S315400404900000000000000000000000000000000012 -S315400404A00000000000000000000000000000000002 -S315400404B000000000000000000000000000000000F2 -S315400404C000000000000000000000000000000000E2 -S315400404D000000000000000000000000000000000D2 -S315400404E000000000000000000000000000000000C2 -S315400404F000000000000000000000000000000000B2 -S3154004050000000000000000000000000000000000A1 -S315400405100000000000000000000000000000000091 -S315400405200000000000000000000000000000000081 -S315400405300000000000000000000000000000000071 -S315400405400000000000000000000000000000000061 -S315400405500000000000000000000000000000000051 -S315400405600000000000000000000000000000000041 -S315400405700000000000000000000000000000000031 -S315400405800000000000000000000000000000000021 -S315400405900000000000000000000000000000000011 -S315400405A00000000000000000000000000000000001 -S315400405B000000000000000000000000000000000F1 -S315400405C000000000000000000000000000000000E1 -S315400405D000000000000000000000000000000000D1 -S315400405E000000000000000000000000000000000C1 -S315400405F000000000000000000000000000000000B1 -S3154004060000000000000000000000000000000000A0 -S315400406100000000000000000000000000000000090 -S315400406200000000000000000000000000000000080 -S315400406300000000000000000000000000000000070 -S315400406400000000000000000000000000000000060 -S315400406500000000000000000000000000000000050 -S315400406600000000000000000000000000000000040 -S315400406700000000000000000000000000000000030 -S315400406800000000000000000000000000000000020 -S315400406900000000000000000000000000000000010 -S315400406A00000000000000000000000000000000000 -S315400406B000000000000000000000000000000000F0 -S315400406C000000000000000000000000000000000E0 -S315400406D000000000000000000000000000000000D0 -S315400406E000000000000000000000000000000000C0 -S315400406F000000000000000000000000000000000B0 -S31540040700000000000000000000000000000000009F -S31540040710000000000000000000000000000000008F -S31540040720000000000000000000000000000000007F -S31540040730000000000000000000000000000000006F -S31540040740000000000000000000000000000000005F -S31540040750000000000000000000000000000000004F -S31540040760000000000000000000000000000000003F -S31540040770000000000000000000000000000000002F -S31540040780000000000000000000000000000000001F -S31540040790000000000000000000000000000000000F -S315400407A000000000000000000000000000000000FF -S315400407B000000000000000000000000000000000EF -S315400407C000000000000000000000000000000000DF -S315400407D000000000000000000000000000000000CF -S315400407E000000000000000000000000000000000BF -S315400407F000000000000000000000000000000000AF -S31540040800000000000000000000000000000000009E -S31540040810000000000000000000000000000000008E -S31540040820000000000000000000000000000000007E -S31540040830000000000000000000000000000000006E -S31540040840000000000000000000000000000000005E -S31540040850000000000000000000000000000000004E -S31540040860000000000000000000000000000000003E -S31540040870000000000000000000000000000000002E -S31540040880000000000000000000000000000000001E -S31540040890000000000000000000000000000000000E -S315400408A000000000000000000000000000000000FE -S315400408B000000000000000000000000000000000EE -S315400408C000000000000000000000000000000000DE -S315400408D000000000000000000000000000000000CE -S315400408E000000000000000000000000000000000BE -S315400408F000000000000000000000000000000000AE -S31540040900000000000000000000000000000000009D -S31540040910000000000000000000000000000000008D -S31540040920000000000000000000000000000000007D -S31540040930000000000000000000000000000000006D -S31540040940000000000000000000000000000000005D -S31540040950000000000000000000000000000000004D -S31540040960000000000000000000000000000000003D -S31540040970000000000000000000000000000000002D -S31540040980000000000000000000000000000000001D -S31540040990000000000000000000000000000000000D -S315400409A000000000000000000000000000000000FD -S315400409B000000000000000000000000000000000ED -S315400409C000000000000000000000000000000000DD -S315400409D000000000000000000000000000000000CD -S315400409E000000000000000000000000000000000BD -S315400409F000000000000000000000000000000000AD -S31540040A00000000000000000000000000000000009C -S31540040A10000000000000000000000000000000008C -S31540040A20000000000000000000000000000000007C -S31540040A30000000000000000000000000000000006C -S31540040A40000000000000000000000000000000005C -S31540040A50000000000000000000000000000000004C -S31540040A60000000000000000000000000000000003C -S31540040A70000000000000000000000000000000002C -S31540040A80000000000000000000000000000000001C -S31540040A90000000000000000000000000000000000C -S31540040AA000000000000000000000000000000000FC -S31540040AB000000000000000000000000000000000EC -S31540040AC000000000000000000000000000000000DC -S31540040AD000000000000000000000000000000000CC -S31540040AE000000000000000000000000000000000BC -S31540040AF000000000000000000000000000000000AC -S31540040B00000000000000000000000000000000009B -S31540040B10000000000000000000000000000000008B -S31540040B20000000000000000000000000000000007B -S31540040B30000000000000000000000000000000006B -S31540040B40000000000000000000000000000000005B -S31540040B50000000000000000000000000000000004B -S31540040B60000000000000000000000000000000003B -S31540040B70000000000000000000000000000000002B -S31540040B80000000000000000000000000000000001B -S31540040B90000000000000000000000000000000000B -S31540040BA000000000000000000000000000000000FB -S31540040BB000000000000000000000000000000000EB -S31540040BC000000000000000000000000000000000DB -S31540040BD000000000000000000000000000000000CB -S31540040BE000000000000000000000000000000000BB -S31540040BF000000000000000000000000000000000AB -S31540040C00000000000000000000000000000000009A -S31540040C10000000000000000000000000000000008A -S31540040C20000000000000000000000000000000007A -S31540040C30000000000000000000000000000000006A -S31540040C40000000000000000000000000000000005A -S31540040C50000000000000000000000000000000004A -S31540040C60000000000000000000000000000000003A -S31540040C70000000000000000000000000000000002A -S31540040C80000000000000000000000000000000001A -S31540040C90000000000000000000000000000000000A -S31540040CA000000000000000000000000000000000FA -S31540040CB000000000000000000000000000000000EA -S31540040CC000000000000000000000000000000000DA -S31540040CD000000000000000000000000000000000CA -S31540040CE000000000000000000000000000000000BA -S31540040CF000000000000000000000000000000000AA -S31540040D000000000000000000000000000000000099 -S31540040D100000000000000000000000000000000089 -S31540040D200000000000000000000000000000000079 -S31540040D300000000000000000000000000000000069 -S31540040D400000000000000000000000000000000059 -S31540040D500000000000000000000000000000000049 -S31540040D600000000000000000000000000000000039 -S31540040D700000000000000000000000000000000029 -S31540040D800000000000000000000000000000000019 -S31540040D900000000000000000000000000000000009 -S31540040DA000000000000000000000000000000000F9 -S31540040DB000000000000000000000000000000000E9 -S31540040DC000000000000000000000000000000000D9 -S31540040DD000000000000000000000000000000000C9 -S31540040DE000000000000000000000000000000000B9 -S31540040DF000000000000000000000000000000000A9 -S31540040E000000000000000000000000000000000098 -S31540040E100000000000000000000000000000000088 -S31540040E200000000000000000000000000000000078 -S31540040E300000000000000000000000000000000068 -S31540040E400000000000000000000000000000000058 -S31540040E500000000000000000000000000000000048 -S31540040E600000000000000000000000000000000038 -S31540040E700000000000000000000000000000000028 -S31540040E800000000000000000000000000000000018 -S31540040E900000000000000000000000000000000008 -S31540040EA000000000000000000000000000000000F8 -S31540040EB000000000000000000000000000000000E8 -S31540040EC000000000000000000000000000000000D8 -S31540040ED000000000000000000000000000000000C8 -S31540040EE000000000000000000000000000000000B8 -S31540040EF000000000000000000000000000000000A8 -S31540040F000000000000000000000000000000000097 -S31540040F100000000000000000000000000000000087 -S31540040F200000000000000000000000000000000077 -S31540040F300000000000000000000000000000000067 -S31540040F400000000000000000000000000000000057 -S31540040F500000000000000000000000000000000047 -S31540040F600000000000000000000000000000000037 -S31540040F700000000000000000000000000000000027 -S31540040F800000000000000000000000000000000017 -S31540040F900000000000000000000000000000000007 -S31540040FA000000000000000000000000000000000F7 -S31540040FB000000000000000000000000000000000E7 -S31540040FC000000000000000000000000000000000D7 -S31540040FD000000000000000000000000000000000C7 -S31540040FE000000000000000000000000000000000B7 -S31540040FF000000000000000000000000000000000A7 -S315400410000000000000000000000000000000000096 -S315400410100000000000000000000000000000000086 -S315400410200000000000000000000000000000000076 -S315400410300000000000000000000000000000000066 -S315400410400000000000000000000000000000000056 -S315400410500000000000000000000000000000000046 -S315400410600000000000000000000000000000000036 -S315400410700000000000000000000000000000000026 -S315400410800000000000000000000000000000000016 -S315400410900000000000000000000000000000000006 -S315400410A000000000000000000000000000000000F6 -S315400410B000000000000000000000000000000000E6 -S315400410C000000000000000000000000000000000D6 -S315400410D000000000000000000000000000000000C6 -S315400410E000000000000000000000000000000000B6 -S315400410F000000000000000000000000000000000A6 -S315400411000000000000000000000000000000000095 -S315400411100000000000000000000000000000000085 -S315400411200000000000000000000000000000000075 -S315400411300000000000000000000000000000000065 -S315400411400000000000000000000000000000000055 -S315400411500000000000000000000000000000000045 -S315400411600000000000000000000000000000000035 -S315400411700000000000000000000000000000000025 -S315400411800000000000000000000000000000000015 -S315400411900000000000000000000000000000000005 -S315400411A000000000000000000000000000000000F5 -S315400411B000000000000000000000000000000000E5 -S315400411C000000000000000000000000000000000D5 -S315400411D000000000000000000000000000000000C5 -S315400411E000000000000000000000000000000000B5 -S315400411F000000000000000000000000000000000A5 -S315400412000000000000000000000000000000000094 -S315400412100000000000000000000000000000000084 -S315400412200000000000000000000000000000000074 -S315400412300000000000000000000000000000000064 -S315400412400000000000000000000000000000000054 -S315400412500000000000000000000000000000000044 -S315400412600000000000000000000000000000000034 -S315400412700000000000000000000000000000000024 -S315400412800000000000000000000000000000000014 -S315400412900000000000000000000000000000000004 -S315400412A000000000000000000000000000000000F4 -S315400412B000000000000000000000000000000000E4 -S315400412C000000000000000000000000000000000D4 -S315400412D000000000000000000000000000000000C4 -S315400412E000000000000000000000000000000000B4 -S315400412F000000000000000000000000000000000A4 -S315400413000000000000000000000000000000000093 -S315400413100000000000000000000000000000000083 -S315400413200000000000000000000000000000000073 -S315400413300000000000000000000000000000000063 -S315400413400000000000000000000000000000000053 -S315400413500000000000000000000000000000000043 -S315400413600000000000000000000000000000000033 -S315400413700000000000000000000000000000000023 -S315400413800000000000000000000000000000000013 -S315400413900000000000000000000000000000000003 -S315400413A000000000000000000000000000000000F3 -S315400413B000000000000000000000000000000000E3 -S315400413C000000000000000000000000000000000D3 -S315400413D000000000000000000000000000000000C3 -S315400413E000000000000000000000000000000000B3 -S315400413F000000000000000000000000000000000A3 -S315400414000000000000000000000000000000000092 -S315400414100000000000000000000000000000000082 -S315400414200000000000000000000000000000000072 -S315400414300000000000000000000000000000000062 -S315400414400000000000000000000000000000000052 -S315400414500000000000000000000000000000000042 -S315400414600000000000000000000000000000000032 -S315400414700000000000000000000000000000000022 -S315400414800000000000000000000000000000000012 -S315400414900000000000000000000000000000000002 -S315400414A000000000000000000000000000000000F2 -S315400414B000000000000000000000000000000000E2 -S315400414C000000000000000000000000000000000D2 -S315400414D000000000000000000000000000000000C2 -S315400414E000000000000000000000000000000000B2 -S315400414F000000000000000000000000000000000A2 -S315400415000000000000000000000000000000000091 -S315400415100000000000000000000000000000000081 -S315400415200000000000000000000000000000000071 -S315400415300000000000000000000000000000000061 -S315400415400000000000000000000000000000000051 -S315400415500000000000000000000000000000000041 -S315400415600000000000000000000000000000000031 -S315400415700000000000000000000000000000000021 -S315400415800000000000000000000000000000000011 -S315400415900000000000000000000000000000000001 -S315400415A000000000000000000000000000000000F1 -S315400415B000000000000000000000000000000000E1 -S315400415C000000000000000000000000000000000D1 -S315400415D000000000000000000000000000000000C1 -S315400415E000000000000000000000000000000000B1 -S315400415F000000000000000000000000000000000A1 -S315400416000000000000000000000000000000000090 -S315400416100000000000000000000000000000000080 -S315400416200000000000000000000000000000000070 -S315400416300000000000000000000000000000000060 -S315400416400000000000000000000000000000000050 -S315400416500000000000000000000000000000000040 -S315400416600000000000000000000000000000000030 -S315400416700000000000000000000000000000000020 -S315400416800000000000000000000000000000000010 -S315400416900000000000000000000000000000000000 -S315400416A000000000000000000000000000000000F0 -S315400416B000000000000000000000000000000000E0 -S315400416C000000000000000000000000000000000D0 -S315400416D000000000000000000000000000000000C0 -S315400416E000000000000000000000000000000000B0 -S315400416F000000000000000000000000000000000A0 -S31540041700000000000000000000000000000000008F -S31540041710000000000000000000000000000000007F -S31540041720000000000000000000000000000000006F -S31540041730000000000000000000000000000000005F -S31540041740000000000000000000000000000000004F -S31540041750000000000000000000000000000000003F -S31540041760000000000000000000000000000000002F -S31540041770000000000000000000000000000000001F -S31540041780000000000000000000000000000000000F -S3154004179000000000000000000000000000000000FF -S315400417A000000000000000000000000000000000EF -S315400417B000000000000000000000000000000000DF -S315400417C000000000000000000000000000000000CF -S315400417D000000000000000000000000000000000BF -S315400417E000000000000000000000000000000000AF -S315400417F0000000000000000000000000000000009F -S31540041800000000000000000000000000000000008E -S31540041810000000000000000000000000000000007E -S31540041820000000000000000000000000000000006E -S31540041830000000000000000000000000000000005E -S31540041840000000000000000000000000000000004E -S31540041850000000000000000000000000000000003E -S31540041860000000000000000000000000000000002E -S31540041870000000000000000000000000000000001E -S31540041880000000000000000000000000000000000E -S3154004189000000000000000000000000000000000FE -S315400418A000000000000000000000000000000000EE -S315400418B000000000000000000000000000000000DE -S315400418C000000000000000000000000000000000CE -S315400418D000000000000000000000000000000000BE -S315400418E000000000000000000000000000000000AE -S315400418F0000000000000000000000000000000009E -S31540041900000000000000000000000000000000008D -S31540041910000000000000000000000000000000007D -S31540041920000000000000000000000000000000006D -S31540041930000000000000000000000000000000005D -S31540041940000000000000000000000000000000004D -S31540041950000000000000000000000000000000003D -S31540041960000000000000000000000000000000002D -S31540041970000000000000000000000000000000001D -S31540041980000000000000000000000000000000000D -S3154004199000000000000000000000000000000000FD -S315400419A000000000000000000000000000000000ED -S315400419B000000000000000000000000000000000DD -S315400419C000000000000000000000000000000000CD -S315400419D000000000000000000000000000000000BD -S315400419E000000000000000000000000000000000AD -S315400419F0000000000000000000000000000000009D -S31540041A00000000000000000000000000000000008C -S31540041A10000000000000000000000000000000007C -S31540041A20000000000000000000000000000000006C -S31540041A30000000000000000000000000000000005C -S31540041A40000000000000000000000000000000004C -S31540041A50000000000000000000000000000000003C -S31540041A60000000000000000000000000000000002C -S31540041A70000000000000000000000000000000001C -S31540041A80000000000000000000000000000000000C -S31540041A9000000000000000000000000000000000FC -S31540041AA000000000000000000000000000000000EC -S31540041AB000000000000000000000000000000000DC -S31540041AC000000000000000000000000000000000CC -S31540041AD000000000000000000000000000000000BC -S31540041AE000000000000000000000000000000000AC -S31540041AF0000000000000000000000000000000009C -S31540041B00000000000000000000000000000000008B -S31540041B10000000000000000000000000000000007B -S31540041B20000000000000000000000000000000006B -S31540041B30000000000000000000000000000000005B -S31540041B40000000000000000000000000000000004B -S31540041B50000000000000000000000000000000003B -S31540041B60000000000000000000000000000000002B -S31540041B70000000000000000000000000000000001B -S31540041B80000000000000000000000000000000000B -S31540041B9000000000000000000000000000000000FB -S31540041BA000000000000000000000000000000000EB -S31540041BB000000000000000000000000000000000DB -S31540041BC000000000000000000000000000000000CB -S31540041BD000000000000000000000000000000000BB -S31540041BE000000000000000000000000000000000AB -S31540041BF0000000000000000000000000000000009B -S31540041C00000000000000000000000000000000008A -S31540041C10000000000000000000000000000000007A -S31540041C20000000000000000000000000000000006A -S31540041C30000000000000000000000000000000005A -S31540041C40000000000000000000000000000000004A -S31540041C50000000000000000000000000000000003A -S31540041C60000000000000000000000000000000002A -S31540041C70000000000000000000000000000000001A -S31540041C80000000000000000000000000000000000A -S31540041C9000000000000000000000000000000000FA -S31540041CA000000000000000000000000000000000EA -S31540041CB000000000000000000000000000000000DA -S31540041CC000000000000000000000000000000000CA -S31540041CD000000000000000000000000000000000BA -S31540041CE000000000000000000000000000000000AA -S31540041CF0000000000000000000000000000000009A -S31540041D000000000000000000000000000000000089 -S31540041D100000000000000000000000000000000079 -S31540041D200000000000000000000000000000000069 -S31540041D300000000000000000000000000000000059 -S31540041D400000000000000000000000000000000049 -S31540041D500000000000000000000000000000000039 -S31540041D600000000000000000000000000000000029 -S31540041D700000000000000000000000000000000019 -S31540041D800000000000000000000000000000000009 -S31540041D9000000000000000000000000000000000F9 -S31540041DA000000000000000000000000000000000E9 -S31540041DB000000000000000000000000000000000D9 -S31540041DC000000000000000000000000000000000C9 -S31540041DD000000000000000000000000000000000B9 -S31540041DE000000000000000000000000000000000A9 -S31540041DF00000000000000000000000000000000099 -S31540041E000000000000000000000000000000000088 -S31540041E100000000000000000000000000000000078 -S31540041E200000000000000000000000000000000068 -S31540041E300000000000000000000000000000000058 -S31540041E400000000000000000000000000000000048 -S31540041E500000000000000000000000000000000038 -S31540041E600000000000000000000000000000000028 -S31540041E700000000000000000000000000000000018 -S31540041E800000000000000000000000000000000008 -S31540041E9000000000000000000000000000000000F8 -S31540041EA000000000000000000000000000000000E8 -S31540041EB000000000000000000000000000000000D8 -S31540041EC000000000000000000000000000000000C8 -S31540041ED000000000000000000000000000000000B8 -S31540041EE000000000000000000000000000000000A8 -S31540041EF00000000000000000000000000000000098 -S31540041F000000000000000000000000000000000087 -S31540041F100000000000000000000000000000000077 -S31540041F200000000000000000000000000000000067 -S31540041F300000000000000000000000000000000057 -S31540041F400000000000000000000000000000000047 -S31540041F500000000000000000000000000000000037 -S31540041F600000000000000000000000000000000027 -S31540041F700000000000000000000000000000000017 -S31540041F800000000000000000000000000000000007 -S31540041F9000000000000000000000000000000000F7 -S31540041FA000000000000000000000000000000000E7 -S31540041FB000000000000000000000000000000000D7 -S31540041FC000000000000000000000000000000000C7 -S31540041FD000000000000000000000000000000000B7 -S31540041FE000000000000000000000000000000000A7 -S31540041FF00000000000000000000000000000000097 -S315400420000000000000000000000000000000000086 -S315400420100000000000000000000000000000000076 -S315400420200000000000000000000000000000000066 -S315400420300000000000000000000000000000000056 -S315400420400000000000000000000000000000000046 -S315400420500000000000000000000000000000000036 -S315400420600000000000000000000000000000000026 -S315400420700000000000000000000000000000000016 -S315400420800000000000000000000000000000000006 -S3154004209000000000000000000000000000000000F6 -S315400420A000000000000000000000000000000000E6 -S315400420B000000000000000000000000000000000D6 -S315400420C000000000000000000000000000000000C6 -S315400420D000000000000000000000000000000000B6 -S315400420E000000000000000000000000000000000A6 -S315400420F00000000000000000000000000000000096 -S315400421000000000000000000000000000000000085 -S315400421100000000000000000000000000000000075 -S315400421200000000000000000000000000000000065 -S315400421300000000000000000000000000000000055 -S315400421400000000000000000000000000000000045 -S315400421500000000000000000000000000000000035 -S315400421600000000000000000000000000000000025 -S315400421700000000000000000000000000000000015 -S315400421800000000000000000000000000000000005 -S3154004219000000000000000000000000000000000F5 -S315400421A000000000000000000000000000000000E5 -S315400421B000000000000000000000000000000000D5 -S315400421C000000000000000000000000000000000C5 -S315400421D000000000000000000000000000000000B5 -S315400421E000000000000000000000000000000000A5 -S315400421F00000000000000000000000000000000095 -S315400422000000000000000000000000000000000084 -S315400422100000000000000000000000000000000074 -S315400422200000000000000000000000000000000064 -S315400422300000000000000000000000000000000054 -S315400422400000000000000000000000000000000044 -S315400422500000000000000000000000000000000034 -S315400422600000000000000000000000000000000024 -S315400422700000000000000000000000000000000014 -S315400422800000000000000000000000000000000004 -S3154004229000000000000000000000000000000000F4 -S315400422A000000000000000000000000000000000E4 -S315400422B000000000000000000000000000000000D4 -S315400422C000000000000000000000000000000000C4 -S315400422D000000000000000000000000000000000B4 -S315400422E000000000000000000000000000000000A4 -S315400422F00000000000000000000000000000000094 -S315400423000000000000000000000000000000000083 -S315400423100000000000000000000000000000000073 -S315400423200000000000000000000000000000000063 -S315400423300000000000000000000000000000000053 -S315400423400000000000000000000000000000000043 -S315400423500000000000000000000000000000000033 -S315400423600000000000000000000000000000000023 -S315400423700000000000000000000000000000000013 -S315400423800000000000000000000000000000000003 -S3154004239000000000000000000000000000000000F3 -S315400423A000000000000000000000000000000000E3 -S315400423B000000000000000000000000000000000D3 -S315400423C000000000000000000000000000000000C3 -S315400423D000000000000000000000000000000000B3 -S315400423E000000000000000000000000000000000A3 -S315400423F00000000000000000000000000000000093 -S315400424000000000000000000000000000000000082 -S315400424100000000000000000000000000000000072 -S315400424200000000000000000000000000000000062 -S315400424300000000000000000000000000000000052 -S315400424400000000000000000000000000000000042 -S315400424500000000000000000000000000000000032 -S315400424600000000000000000000000000000000022 -S315400424700000000000000000000000000000000012 -S315400424800000000000000000000000000000000002 -S3154004249000000000000000000000000000000000F2 -S315400424A000000000000000000000000000000000E2 -S315400424B000000000000000000000000000000000D2 -S315400424C000000000000000000000000000000000C2 -S315400424D000000000000000000000000000000000B2 -S315400424E000000000000000000000000000000000A2 -S315400424F00000000000000000000000000000000092 -S315400425000000000000000000000000000000000081 -S315400425100000000000000000000000000000000071 -S315400425200000000000000000000000000000000061 -S315400425300000000000000000000000000000000051 -S315400425400000000000000000000000000000000041 -S315400425500000000000000000000000000000000031 -S315400425600000000000000000000000000000000021 -S315400425700000000000000000000000000000000011 -S315400425800000000000000000000000000000000001 -S3154004259000000000000000000000000000000000F1 -S315400425A000000000000000000000000000000000E1 -S315400425B000000000000000000000000000000000D1 -S315400425C000000000000000000000000000000000C1 -S315400425D000000000000000000000000000000000B1 -S315400425E000000000000000000000000000000000A1 -S315400425F00000000000000000000000000000000091 -S315400426000000000000000000000000000000000080 -S315400426100000000000000000000000000000000070 -S315400426200000000000000000000000000000000060 -S315400426300000000000000000000000000000000050 -S315400426400000000000000000000000000000000040 -S315400426500000000000000000000000000000000030 -S315400426600000000000000000000000000000000020 -S315400426700000000000000000000000000000000010 -S315400426800000000000000000000000000000000000 -S3154004269000000000000000000000000000000000F0 -S315400426A000000000000000000000000000000000E0 -S315400426B000000000000000000000000000000000D0 -S315400426C000000000000000000000000000000000C0 -S315400426D000000000000000000000000000000000B0 -S315400426E000000000000000000000000000000000A0 -S315400426F00000000000000000000000000000000090 -S31540042700000000000000000000000000000000007F -S31540042710000000000000000000000000000000006F -S31540042720000000000000000000000000000000005F -S31540042730000000000000000000000000000000004F -S31540042740000000000000000000000000000000003F -S31540042750000000000000000000000000000000002F -S31540042760000000000000000000000000000000001F -S31540042770000000000000000000000000000000000F -S3154004278000000000000000000000000000000000FF -S3154004279000000000000000000000000000000000EF -S315400427A000000000000000000000000000000000DF -S315400427B000000000000000000000000000000000CF -S315400427C000000000000000000000000000000000BF -S315400427D000000000000000000000000000000000AF -S315400427E0000000000000000000000000000000009F -S315400427F0000000000000000000000000000000008F -S31540042800000000000000000000000000000000007E -S31540042810000000000000000000000000000000006E -S31540042820000000000000000000000000000000005E -S31540042830000000000000000000000000000000004E -S31540042840000000000000000000000000000000003E -S31540042850000000000000000000000000000000002E -S31540042860000000000000000000000000000000001E -S31540042870000000000000000000000000000000000E -S3154004288000000000000000000000000000000000FE -S3154004289000000000000000000000000000000000EE -S315400428A000000000000000000000000000000000DE -S315400428B000000000000000000000000000000000CE -S315400428C000000000000000000000000000000000BE -S315400428D000000000000000000000000000000000AE -S315400428E0000000000000000000000000000000009E -S315400428F0000000000000000000000000000000008E -S31540042900000000000000000000000000000000007D -S31540042910000000000000000000000000000000006D -S31540042920000000000000000000000000000000005D -S31540042930000000000000000000000000000000004D -S31540042940000000000000000000000000000000003D -S31540042950000000000000000000000000000000002D -S31540042960000000000000000000000000000000001D -S31540042970000000000000000000000000000000000D -S3154004298000000000000000000000000000000000FD -S3154004299000000000000000000000000000000000ED -S315400429A000000000000000000000000000000000DD -S315400429B000000000000000000000000000000000CD -S315400429C000000000000000000000000000000000BD -S315400429D000000000000000000000000000000000AD -S315400429E0000000000000000000000000000000009D -S315400429F0000000000000000000000000000000008D -S31540042A00000000000000000000000000000000007C -S31540042A10000000000000000000000000000000006C -S31540042A20000000000000000000000000000000005C -S31540042A30000000000000000000000000000000004C -S31540042A40000000000000000000000000000000003C -S31540042A50000000000000000000000000000000002C -S31540042A60000000000000000000000000000000001C -S31540042A70000000000000000000000000000000000C -S31540042A8000000000000000000000000000000000FC -S31540042A9000000000000000000000000000000000EC -S31540042AA000000000000000000000000000000000DC -S31540042AB000000000000000000000000000000000CC -S31540042AC000000000000000000000000000000000BC -S31540042AD000000000000000000000000000000000AC -S31540042AE0000000000000000000000000000000009C -S31540042AF0000000000000000000000000000000008C -S31540042B00000000000000000000000000000000007B -S31540042B10000000000000000000000000000000006B -S31540042B20000000000000000000000000000000005B -S31540042B30000000000000000000000000000000004B -S31540042B40000000000000000000000000000000003B -S31540042B50000000000000000000000000000000002B -S31540042B60000000000000000000000000000000001B -S31540042B70000000000000000000000000000000000B -S31540042B8000000000000000000000000000000000FB -S31540042B9000000000000000000000000000000000EB -S31540042BA000000000000000000000000000000000DB -S31540042BB000000000000000000000000000000000CB -S31540042BC000000000000000000000000000000000BB -S31540042BD000000000000000000000000000000000AB -S31540042BE0000000000000000000000000000000009B -S31540042BF0000000000000000000000000000000008B -S31540042C00000000000000000000000000000000007A -S31540042C10000000000000000000000000000000006A -S31540042C20000000000000000000000000000000005A -S31540042C30000000000000000000000000000000004A -S31540042C40000000000000000000000000000000003A -S31540042C50000000000000000000000000000000002A -S31540042C60000000000000000000000000000000001A -S31540042C70000000000000000000000000000000000A -S31540042C8000000000000000000000000000000000FA -S31540042C9000000000000000000000000000000000EA -S31540042CA000000000000000000000000000000000DA -S31540042CB000000000000000000000000000000000CA -S31540042CC000000000000000000000000000000000BA -S31540042CD000000000000000000000000000000000AA -S31540042CE0000000000000000000000000000000009A -S31540042CF0000000000000000000000000000000008A -S31540042D000000000000000000000000000000000079 -S31540042D100000000000000000000000000000000069 -S31540042D200000000000000000000000000000000059 -S31540042D300000000000000000000000000000000049 -S31540042D400000000000000000000000000000000039 -S31540042D500000000000000000000000000000000029 -S31540042D600000000000000000000000000000000019 -S31540042D700000000000000000000000000000000009 -S31540042D8000000000000000000000000000000000F9 -S31540042D9000000000000000000000000000000000E9 -S31540042DA000000000000000000000000000000000D9 -S31540042DB000000000000000000000000000000000C9 -S31540042DC000000000000000000000000000000000B9 -S31540042DD000000000000000000000000000000000A9 -S31540042DE00000000000000000000000000000000099 -S31540042DF00000000000000000000000000000000089 -S31540042E000000000000000000000000000000000078 -S31540042E100000000000000000000000000000000068 -S31540042E200000000000000000000000000000000058 -S31540042E300000000000000000000000000000000048 -S31540042E400000000000000000000000000000000038 -S31540042E500000000000000000000000000000000028 -S31540042E600000000000000000000000000000000018 -S31540042E700000000000000000000000000000000008 -S31540042E8000000000000000000000000000000000F8 -S31540042E9000000000000000000000000000000000E8 -S31540042EA000000000000000000000000000000000D8 -S31540042EB000000000000000000000000000000000C8 -S31540042EC000000000000000000000000000000000B8 -S31540042ED000000000000000000000000000000000A8 -S31540042EE00000000000000000000000000000000098 -S31540042EF00000000000000000000000000000000088 -S31540042F000000000000000000000000000000000077 -S31540042F100000000000000000000000000000000067 -S31540042F200000000000000000000000000000000057 -S31540042F300000000000000000000000000000000047 -S31540042F400000000000000000000000000000000037 -S31540042F500000000000000000000000000000000027 -S31540042F600000000000000000000000000000000017 -S31540042F700000000000000000000000000000000007 -S31540042F8000000000000000000000000000000000F7 -S31540042F9000000000000000000000000000000000E7 -S31540042FA000000000000000000000000000000000D7 -S31540042FB000000000000000000000000000000000C7 -S31540042FC000000000000000000000000000000000B7 -S31540042FD000000000000000000000000000000000A7 -S31540042FE00000000000000000000000000000000097 -S31540042FF00000000000000000000000000000000087 -S315400430000000000000000000000000000000000076 -S315400430100000000000000000000000000000000066 -S315400430200000000000000000000000000000000056 -S315400430300000000000000000000000000000000046 -S315400430400000000000000000000000000000000036 -S315400430500000000000000000000000000000000026 -S315400430600000000000000000000000000000000016 -S315400430700000000000000000000000000000000006 -S3154004308000000000000000000000000000000000F6 -S3154004309000000000000000000000000000000000E6 -S315400430A000000000000000000000000000000000D6 -S315400430B000000000000000000000000000000000C6 -S315400430C000000000000000000000000000000000B6 -S315400430D000000000000000000000000000000000A6 -S315400430E00000000000000000000000000000000096 -S315400430F00000000000000000000000000000000086 -S315400431000000000000000000000000000000000075 -S315400431100000000000000000000000000000000065 -S315400431200000000000000000000000000000000055 -S315400431300000000000000000000000000000000045 -S315400431400000000000000000000000000000000035 -S315400431500000000000000000000000000000000025 -S315400431600000000000000000000000000000000015 -S315400431700000000000000000000000000000000005 -S3154004318000000000000000000000000000000000F5 -S3154004319000000000000000000000000000000000E5 -S315400431A000000000000000000000000000000000D5 -S315400431B000000000000000000000000000000000C5 -S315400431C000000000000000000000000000000000B5 -S315400431D000000000000000000000000000000000A5 -S315400431E00000000000000000000000000000000095 -S315400431F00000000000000000000000000000000085 -S315400432000000000000000000000000000000000074 -S315400432100000000000000000000000000000000064 -S315400432200000000000000000000000000000000054 -S315400432300000000000000000000000000000000044 -S315400432400000000000000000000000000000000034 -S315400432500000000000000000000000000000000024 -S315400432600000000000000000000000000000000014 -S315400432700000000000000000000000000000000004 -S3154004328000000000000000000000000000000000F4 -S3154004329000000000000000000000000000000000E4 -S315400432A000000000000000000000000000000000D4 -S315400432B000000000000000000000000000000000C4 -S315400432C000000000000000000000000000000000B4 -S315400432D000000000000000000000000000000000A4 -S315400432E00000000000000000000000000000000094 -S315400432F00000000000000000000000000000000084 -S315400433000000000000000000000000000000000073 -S315400433100000000000000000000000000000000063 -S315400433200000000000000000000000000000000053 -S315400433300000000000000000000000000000000043 -S315400433400000000000000000000000000000000033 -S315400433500000000000000000000000000000000023 -S315400433600000000000000000000000000000000013 -S315400433700000000000000000000000000000000003 -S3154004338000000000000000000000000000000000F3 -S3154004339000000000000000000000000000000000E3 -S315400433A000000000000000000000000000000000D3 -S315400433B000000000000000000000000000000000C3 -S315400433C000000000000000000000000000000000B3 -S315400433D000000000000000000000000000000000A3 -S315400433E00000000000000000000000000000000093 -S315400433F00000000000000000000000000000000083 -S315400434000000000000000000000000000000000072 -S315400434100000000000000000000000000000000062 -S315400434200000000000000000000000000000000052 -S315400434300000000000000000000000000000000042 -S315400434400000000000000000000000000000000032 -S315400434500000000000000000000000000000000022 -S315400434600000000000000000000000000000000012 -S315400434700000000000000000000000000000000002 -S3154004348000000000000000000000000000000000F2 -S3154004349000000000000000000000000000000000E2 -S315400434A000000000000000000000000000000000D2 -S315400434B000000000000000000000000000000000C2 -S315400434C000000000000000000000000000000000B2 -S315400434D000000000000000000000000000000000A2 -S315400434E00000000000000000000000000000000092 -S315400434F00000000000000000000000000000000082 -S315400435000000000000000000000000000000000071 -S315400435100000000000000000000000000000000061 -S315400435200000000000000000000000000000000051 -S315400435300000000000000000000000000000000041 -S315400435400000000000000000000000000000000031 -S315400435500000000000000000000000000000000021 -S315400435600000000000000000000000000000000011 -S315400435700000000000000000000000000000000001 -S3154004358000000000000000000000000000000000F1 -S3154004359000000000000000000000000000000000E1 -S315400435A000000000000000000000000000000000D1 -S315400435B000000000000000000000000000000000C1 -S315400435C000000000000000000000000000000000B1 -S315400435D000000000000000000000000000000000A1 -S315400435E00000000000000000000000000000000091 -S315400435F00000000000000000000000000000000081 -S315400436000000000000000000000000000000000070 -S315400436100000000000000000000000000000000060 -S315400436200000000000000000000000000000000050 -S315400436300000000000000000000000000000000040 -S315400436400000000000000000000000000000000030 -S315400436500000000000000000000000000000000020 -S315400436600000000000000000000000000000000010 -S315400436700000000000000000000000000000000000 -S3154004368000000000000000000000000000000000F0 -S3154004369000000000000000000000000000000000E0 -S315400436A000000000000000000000000000000000D0 -S315400436B000000000000000000000000000000000C0 -S315400436C000000000000000000000000000000000B0 -S315400436D000000000000000000000000000000000A0 -S315400436E00000000000000000000000000000000090 -S315400436F00000000000000000000000000000000080 -S31540043700000000000000000000000000000000006F -S31540043710000000000000000000000000000000005F -S31540043720000000000000000000000000000000004F -S31540043730000000000000000000000000000000003F -S31540043740000000000000000000000000000000002F -S31540043750000000000000000000000000000000001F -S31540043760000000000000000000000000000000000F -S3154004377000000000000000000000000000000000FF -S3154004378000000000000000000000000000000000EF -S3154004379000000000000000000000000000000000DF -S315400437A000000000000000000000000000000000CF -S315400437B000000000000000000000000000000000BF -S315400437C000000000000000000000000000000000AF -S315400437D0000000000000000000000000000000009F -S315400437E0000000000000000000000000000000008F -S315400437F0000000000000000000000000000000007F -S31540043800000000000000000000000000000000006E -S31540043810000000000000000000000000000000005E -S31540043820000000000000000000000000000000004E -S31540043830000000000000000000000000000000003E -S31540043840000000000000000000000000000000002E -S31540043850000000000000000000000000000000001E -S31540043860000000000000000000000000000000000E -S3154004387000000000000000000000000000000000FE -S3154004388000000000000000000000000000000000EE -S3154004389000000000000000000000000000000000DE -S315400438A000000000000000000000000000000000CE -S315400438B000000000000000000000000000000000BE -S315400438C000000000000000000000000000000000AE -S315400438D0000000000000000000000000000000009E -S315400438E0000000000000000000000000000000008E -S315400438F0000000000000000000000000000000007E -S31540043900000000000000000000000000000000006D -S31540043910000000000000000000000000000000005D -S31540043920000000000000000000000000000000004D -S31540043930000000000000000000000000000000003D -S31540043940000000000000000000000000000000002D -S31540043950000000000000000000000000000000001D -S31540043960000000000000000000000000000000000D -S3154004397000000000000000000000000000000000FD -S3154004398000000000000000000000000000000000ED -S3154004399000000000000000000000000000000000DD -S315400439A000000000000000000000000000000000CD -S315400439B000000000000000000000000000000000BD -S315400439C000000000000000000000000000000000AD -S315400439D0000000000000000000000000000000009D -S315400439E0000000000000000000000000000000008D -S315400439F0000000000000000000000000000000007D -S31540043A00000000000000000000000000000000006C -S31540043A10000000000000000000000000000000005C -S31540043A20000000000000000000000000000000004C -S31540043A30000000000000000000000000000000003C -S31540043A40000000000000000000000000000000002C -S31540043A50000000000000000000000000000000001C -S31540043A60000000000000000000000000000000000C -S31540043A7000000000000000000000000000000000FC -S31540043A8000000000000000000000000000000000EC -S31540043A9000000000000000000000000000000000DC -S31540043AA000000000000000000000000000000000CC -S31540043AB000000000000000000000000000000000BC -S31540043AC000000000000000000000000000000000AC -S31540043AD0000000000000000000000000000000009C -S31540043AE0000000000000000000000000000000008C -S31540043AF0000000000000000000000000000000007C -S31540043B00000000000000000000000000000000006B -S31540043B10000000000000000000000000000000005B -S31540043B20000000000000000000000000000000004B -S31540043B30000000000000000000000000000000003B -S31540043B40000000000000000000000000000000002B -S31540043B50000000000000000000000000000000001B -S31540043B60000000000000000000000000000000000B -S31540043B7000000000000000000000000000000000FB -S31540043B8000000000000000000000000000000000EB -S31540043B9000000000000000000000000000000000DB -S31540043BA000000000000000000000000000000000CB -S31540043BB000000000000000000000000000000000BB -S31540043BC000000000000000000000000000000000AB -S31540043BD0000000000000000000000000000000009B -S31540043BE0000000000000000000000000000000008B -S31540043BF0000000000000000000000000000000007B -S31540043C00000000000000000000000000000000006A -S31540043C10000000000000000000000000000000005A -S31540043C20000000000000000000000000000000004A -S31540043C30000000000000000000000000000000003A -S31540043C40000000000000000000000000000000002A -S31540043C50000000000000000000000000000000001A -S31540043C60000000000000000000000000000000000A -S31540043C7000000000000000000000000000000000FA -S31540043C8000000000000000000000000000000000EA -S31540043C9000000000000000000000000000000000DA -S31540043CA000000000000000000000000000000000CA -S31540043CB000000000000000000000000000000000BA -S31540043CC000000000000000000000000000000000AA -S31540043CD0000000000000000000000000000000009A -S31540043CE0000000000000000000000000000000008A -S31540043CF0000000000000000000000000000000007A -S31540043D000000000000000000000000000000000069 -S31540043D100000000000000000000000000000000059 -S31540043D200000000000000000000000000000000049 -S31540043D300000000000000000000000000000000039 -S31540043D400000000000000000000000000000000029 -S31540043D500000000000000000000000000000000019 -S31540043D600000000000000000000000000000000009 -S31540043D7000000000000000000000000000000000F9 -S31540043D8000000000000000000000000000000000E9 -S31540043D9000000000000000000000000000000000D9 -S31540043DA000000000000000000000000000000000C9 -S31540043DB000000000000000000000000000000000B9 -S31540043DC000000000000000000000000000000000A9 -S31540043DD00000000000000000000000000000000099 -S31540043DE00000000000000000000000000000000089 -S31540043DF00000000000000000000000000000000079 -S31540043E000000000000000000000000000000000068 -S31540043E100000000000000000000000000000000058 -S31540043E200000000000000000000000000000000048 -S31540043E300000000000000000000000000000000038 -S31540043E400000000000000000000000000000000028 -S31540043E500000000000000000000000000000000018 -S31540043E600000000000000000000000000000000008 -S31540043E7000000000000000000000000000000000F8 -S31540043E8000000000000000000000000000000000E8 -S31540043E9000000000000000000000000000000000D8 -S31540043EA000000000000000000000000000000000C8 -S31540043EB000000000000000000000000000000000B8 -S31540043EC000000000000000000000000000000000A8 -S31540043ED00000000000000000000000000000000098 -S31540043EE00000000000000000000000000000000088 -S31540043EF00000000000000000000000000000000078 -S31540043F000000000000000000000000000000000067 -S31540043F100000000000000000000000000000000057 -S31540043F200000000000000000000000000000000047 -S31540043F300000000000000000000000000000000037 -S31540043F400000000000000000000000000000000027 -S31540043F500000000000000000000000000000000017 -S31540043F600000000000000000000000000000000007 -S31540043F7000000000000000000000000000000000F7 -S31540043F8000000000000000000000000000000000E7 -S31540043F9000000000000000000000000000000000D7 -S31540043FA000000000000000000000000000000000C7 -S31540043FB000000000000000000000000000000000B7 -S31540043FC000000000000000000000000000000000A7 -S31540043FD00000000000000000000000000000000097 -S31540043FE00000000000000000000000000000000087 -S31540043FF00000000000000000000000000000000077 -S315400440000000000000000000000000000000000066 -S315400440100000000000000000000000000000000056 -S315400440200000000000000000000000000000000046 -S315400440300000000000000000000000000000000036 -S315400440400000000000000000000000000000000026 -S315400440500000000000000000000000000000000016 -S315400440600000000000000000000000000000000006 -S3154004407000000000000000000000000000000000F6 -S3154004408000000000000000000000000000000000E6 -S3154004409000000000000000000000000000000000D6 -S315400440A000000000000000000000000000000000C6 -S315400440B000000000000000000000000000000000B6 -S315400440C000000000000000000000000000000000A6 -S315400440D00000000000000000000000000000000096 -S315400440E00000000000000000000000000000000086 -S315400440F00000000000000000000000000000000076 -S315400441000000000000000000000000000000000065 -S315400441100000000000000000000000000000000055 -S315400441200000000000000000000000000000000045 -S315400441300000000000000000000000000000000035 -S315400441400000000000000000000000000000000025 -S315400441500000000000000000000000000000000015 -S315400441600000000000000000000000000000000005 -S3154004417000000000000000000000000000000000F5 -S3154004418000000000000000000000000000000000E5 -S3154004419000000000000000000000000000000000D5 -S315400441A000000000000000000000000000000000C5 -S315400441B000000000000000000000000000000000B5 -S315400441C000000000000000000000000000000000A5 -S315400441D00000000000000000000000000000000095 -S315400441E00000000000000000000000000000000085 -S315400441F00000000000000000000000000000000075 -S315400442000000000000000000000000000000000064 -S315400442100000000000000000000000000000000054 -S315400442200000000000000000000000000000000044 -S315400442300000000000000000000000000000000034 -S315400442400000000000000000000000000000000024 -S315400442500000000000000000000000000000000014 -S315400442600000000000000000000000000000000004 -S3154004427000000000000000000000000000000000F4 -S3154004428000000000000000000000000000000000E4 -S3154004429000000000000000000000000000000000D4 -S315400442A000000000000000000000000000000000C4 -S315400442B000000000000000000000000000000000B4 -S315400442C000000000000000000000000000000000A4 -S315400442D00000000000000000000000000000000094 -S315400442E00000000000000000000000000000000084 -S315400442F00000000000000000000000000000000074 -S315400443000000000000000000000000000000000063 -S315400443100000000000000000000000000000000053 -S315400443200000000000000000000000000000000043 -S315400443300000000000000000000000000000000033 -S315400443400000000000000000000000000000000023 -S315400443500000000000000000000000000000000013 -S315400443600000000000000000000000000000000003 -S3154004437000000000000000000000000000000000F3 -S3154004438000000000000000000000000000000000E3 -S3154004439000000000000000000000000000000000D3 -S315400443A000000000000000000000000000000000C3 -S315400443B000000000000000000000000000000000B3 -S315400443C000000000000000000000000000000000A3 -S315400443D00000000000000000000000000000000093 -S315400443E00000000000000000000000000000000083 -S315400443F00000000000000000000000000000000073 -S315400444000000000000000000000000000000000062 -S315400444100000000000000000000000000000000052 -S315400444200000000000000000000000000000000042 -S315400444300000000000000000000000000000000032 -S315400444400000000000000000000000000000000022 -S315400444500000000000000000000000000000000012 -S315400444600000000000000000000000000000000002 -S3154004447000000000000000000000000000000000F2 -S3154004448000000000000000000000000000000000E2 -S3154004449000000000000000000000000000000000D2 -S315400444A000000000000000000000000000000000C2 -S315400444B000000000000000000000000000000000B2 -S315400444C000000000000000000000000000000000A2 -S315400444D00000000000000000000000000000000092 -S315400444E00000000000000000000000000000000082 -S315400444F00000000000000000000000000000000072 -S315400445000000000000000000000000000000000061 -S315400445100000000000000000000000000000000051 -S315400445200000000000000000000000000000000041 -S315400445300000000000000000000000000000000031 -S315400445400000000000000000000000000000000021 -S315400445500000000000000000000000000000000011 -S315400445600000000000000000000000000000000001 -S3154004457000000000000000000000000000000000F1 -S3154004458000000000000000000000000000000000E1 -S3154004459000000000000000000000000000000000D1 -S315400445A000000000000000000000000000000000C1 -S315400445B000000000000000000000000000000000B1 -S315400445C000000000000000000000000000000000A1 -S315400445D00000000000000000000000000000000091 -S315400445E00000000000000000000000000000000081 -S315400445F00000000000000000000000000000000071 -S315400446000000000000000000000000000000000060 -S315400446100000000000000000000000000000000050 -S315400446200000000000000000000000000000000040 -S315400446300000000000000000000000000000000030 -S315400446400000000000000000000000000000000020 -S315400446500000000000000000000000000000000010 -S315400446600000000000000000000000000000000000 -S3154004467000000000000000000000000000000000F0 -S3154004468000000000000000000000000000000000E0 -S3154004469000000000000000000000000000000000D0 -S315400446A000000000000000000000000000000000C0 -S315400446B000000000000000000000000000000000B0 -S315400446C000000000000000000000000000000000A0 -S315400446D00000000000000000000000000000000090 -S315400446E00000000000000000000000000000000080 -S315400446F00000000000000000000000000000000070 -S31540044700000000000000000000000000000000005F -S31540044710000000000000000000000000000000004F -S31540044720000000000000000000000000000000003F -S31540044730000000000000000000000000000000002F -S31540044740000000000000000000000000000000001F -S31540044750000000000000000000000000000000000F -S3154004476000000000000000000000000000000000FF -S3154004477000000000000000000000000000000000EF -S3154004478000000000000000000000000000000000DF -S3154004479000000000000000000000000000000000CF -S315400447A000000000000000000000000000000000BF -S315400447B000000000000000000000000000000000AF -S315400447C0000000000000000000000000000000009F -S315400447D0000000000000000000000000000000008F -S315400447E0000000000000000000000000000000007F -S315400447F0000000000000000000000000000000006F -S31540044800000000000000000000000000000000005E -S31540044810000000000000000000000000000000004E -S31540044820000000000000000000000000000000003E -S31540044830000000000000000000000000000000002E -S31540044840000000000000000000000000000000001E -S31540044850000000000000000000000000000000000E -S3154004486000000000000000000000000000000000FE -S3154004487000000000000000000000000000000000EE -S3154004488000000000000000000000000000000000DE -S3154004489000000000000000000000000000000000CE -S315400448A000000000000000000000000000000000BE -S315400448B000000000000000000000000000000000AE -S315400448C0000000000000000000000000000000009E -S315400448D0000000000000000000000000000000008E -S315400448E0000000000000000000000000000000007E -S315400448F0000000000000000000000000000000006E -S31540044900000000000000000000000000000000005D -S31540044910000000000000000000000000000000004D -S31540044920000000000000000000000000000000003D -S31540044930000000000000000000000000000000002D -S31540044940000000000000000000000000000000001D -S31540044950000000000000000000000000000000000D -S3154004496000000000000000000000000000000000FD -S3154004497000000000000000000000000000000000ED -S3154004498000000000000000000000000000000000DD -S3154004499000000000000000000000000000000000CD -S315400449A000000000000000000000000000000000BD -S315400449B000000000000000000000000000000000AD -S315400449C0000000000000000000000000000000009D -S315400449D0000000000000000000000000000000008D -S315400449E0000000000000000000000000000000007D -S315400449F0000000000000000000000000000000006D -S31540044A00000000000000000000000000000000005C -S31540044A10000000000000000000000000000000004C -S31540044A20000000000000000000000000000000003C -S31540044A30000000000000000000000000000000002C -S31540044A40000000000000000000000000000000001C -S31540044A50000000000000000000000000000000000C -S31540044A6000000000000000000000000000000000FC -S31540044A7000000000000000000000000000000000EC -S31540044A8000000000000000000000000000000000DC -S31540044A9000000000000000000000000000000000CC -S31540044AA000000000000000000000000000000000BC -S31540044AB000000000000000000000000000000000AC -S31540044AC0000000000000000000000000000000009C -S31540044AD0000000000000000000000000000000008C -S31540044AE0000000000000000000000000000000007C -S31540044AF0000000000000000000000000000000006C -S31540044B00000000000000000000000000000000005B -S31540044B10000000000000000000000000000000004B -S31540044B20000000000000000000000000000000003B -S31540044B30000000000000000000000000000000002B -S31540044B40000000000000000000000000000000001B -S31540044B50000000000000000000000000000000000B -S31540044B6000000000000000000000000000000000FB -S31540044B7000000000000000000000000000000000EB -S31540044B8000000000000000000000000000000000DB -S31540044B9000000000000000000000000000000000CB -S31540044BA000000000000000000000000000000000BB -S31540044BB000000000000000000000000000000000AB -S31540044BC0000000000000000000000000000000009B -S31540044BD0000000000000000000000000000000008B -S31540044BE0000000000000000000000000000000007B -S31540044BF0000000000000000000000000000000006B -S31540044C00000000000000000000000000000000005A -S31540044C10000000000000000000000000000000004A -S31540044C20000000000000000000000000000000003A -S31540044C30000000000000000000000000000000002A -S31540044C40000000000000000000000000000000001A -S31540044C50000000000000000000000000000000000A -S31540044C6000000000000000000000000000000000FA -S31540044C7000000000000000000000000000000000EA -S31540044C8000000000000000000000000000000000DA -S31540044C9000000000000000000000000000000000CA -S31540044CA000000000000000000000000000000000BA -S31540044CB000000000000000000000000000000000AA -S31540044CC0000000000000000000000000000000009A -S31540044CD0000000000000000000000000000000008A -S31540044CE0000000000000000000000000000000007A -S31540044CF0000000000000000000000000000000006A -S31540044D000000000000000000000000000000000059 -S31540044D100000000000000000000000000000000049 -S31540044D200000000000000000000000000000000039 -S31540044D300000000000000000000000000000000029 -S31540044D400000000000000000000000000000000019 -S31540044D500000000000000000000000000000000009 -S31540044D6000000000000000000000000000000000F9 -S31540044D7000000000000000000000000000000000E9 -S31540044D8000000000000000000000000000000000D9 -S31540044D9000000000000000000000000000000000C9 -S31540044DA000000000000000000000000000000000B9 -S31540044DB000000000000000000000000000000000A9 -S31540044DC00000000000000000000000000000000099 -S31540044DD00000000000000000000000000000000089 -S31540044DE00000000000000000000000000000000079 -S31540044DF00000000000000000000000000000000069 -S31540044E000000000000000000000000000000000058 -S31540044E100000000000000000000000000000000048 -S31540044E200000000000000000000000000000000038 -S31540044E300000000000000000000000000000000028 -S31540044E400000000000000000000000000000000018 -S31540044E500000000000000000000000000000000008 -S31540044E6000000000000000000000000000000000F8 -S31540044E7000000000000000000000000000000000E8 -S31540044E8000000000000000000000000000000000D8 -S31540044E9000000000000000000000000000000000C8 -S31540044EA000000000000000000000000000000000B8 -S31540044EB000000000000000000000000000000000A8 -S31540044EC00000000000000000000000000000000098 -S31540044ED00000000000000000000000000000000088 -S31540044EE00000000000000000000000000000000078 -S31540044EF00000000000000000000000000000000068 -S31540044F000000000000000000000000000000000057 -S31540044F100000000000000000000000000000000047 -S31540044F200000000000000000000000000000000037 -S31540044F300000000000000000000000000000000027 -S31540044F400000000000000000000000000000000017 -S31540044F500000000000000000000000000000000007 -S31540044F6000000000000000000000000000000000F7 -S31540044F7000000000000000000000000000000000E7 -S31540044F8000000000000000000000000000000000D7 -S31540044F9000000000000000000000000000000000C7 -S31540044FA000000000000000000000000000000000B7 -S31540044FB000000000000000000000000000000000A7 -S31540044FC00000000000000000000000000000000097 -S31540044FD00000000000000000000000000000000087 -S31540044FE00000000000000000000000000000000077 -S31540044FF00000000000000000000000000000000067 -S315400450000000000000000000000000000000000056 -S315400450100000000000000000000000000000000046 -S315400450200000000000000000000000000000000036 -S315400450300000000000000000000000000000000026 -S315400450400000000000000000000000000000000016 -S315400450500000000000000000000000000000000006 -S3154004506000000000000000000000000000000000F6 -S3154004507000000000000000000000000000000000E6 -S3154004508000000000000000000000000000000000D6 -S3154004509000000000000000000000000000000000C6 -S315400450A000000000000000000000000000000000B6 -S315400450B000000000000000000000000000000000A6 -S315400450C00000000000000000000000000000000096 -S315400450D00000000000000000000000000000000086 -S315400450E00000000000000000000000000000000076 -S315400450F00000000000000000000000000000000066 -S315400451000000000000000000000000000000000055 -S315400451100000000000000000000000000000000045 -S315400451200000000000000000000000000000000035 -S315400451300000000000000000000000000000000025 -S315400451400000000000000000000000000000000015 -S315400451500000000000000000000000000000000005 -S3154004516000000000000000000000000000000000F5 -S3154004517000000000000000000000000000000000E5 -S3154004518000000000000000000000000000000000D5 -S3154004519000000000000000000000000000000000C5 -S315400451A000000000000000000000000000000000B5 -S315400451B000000000000000000000000000000000A5 -S315400451C00000000000000000000000000000000095 -S315400451D00000000000000000000000000000000085 -S315400451E00000000000000000000000000000000075 -S315400451F00000000000000000000000000000000065 -S315400452000000000000000000000000000000000054 -S315400452100000000000000000000000000000000044 -S315400452200000000000000000000000000000000034 -S315400452300000000000000000000000000000000024 -S315400452400000000000000000000000000000000014 -S315400452500000000000000000000000000000000004 -S3154004526000000000000000000000000000000000F4 -S3154004527000000000000000000000000000000000E4 -S3154004528000000000000000000000000000000000D4 -S3154004529000000000000000000000000000000000C4 -S315400452A000000000000000000000000000000000B4 -S315400452B000000000000000000000000000000000A4 -S315400452C00000000000000000000000000000000094 -S315400452D00000000000000000000000000000000084 -S315400452E00000000000000000000000000000000074 -S315400452F00000000000000000000000000000000064 -S315400453000000000000000000000000000000000053 -S315400453100000000000000000000000000000000043 -S315400453200000000000000000000000000000000033 -S315400453300000000000000000000000000000000023 -S315400453400000000000000000000000000000000013 -S315400453500000000000000000000000000000000003 -S3154004536000000000000000000000000000000000F3 -S3154004537000000000000000000000000000000000E3 -S3154004538000000000000000000000000000000000D3 -S3154004539000000000000000000000000000000000C3 -S315400453A000000000000000000000000000000000B3 -S315400453B000000000000000000000000000000000A3 -S315400453C00000000000000000000000000000000093 -S315400453D00000000000000000000000000000000083 -S315400453E00000000000000000000000000000000073 -S315400453F00000000000000000000000000000000063 -S315400454000000000000000000000000000000000052 -S315400454100000000000000000000000000000000042 -S315400454200000000000000000000000000000000032 -S315400454300000000000000000000000000000000022 -S315400454400000000000000000000000000000000012 -S315400454500000000000000000000000000000000002 -S3154004546000000000000000000000000000000000F2 -S3154004547000000000000000000000000000000000E2 -S3154004548000000000000000000000000000000000D2 -S3154004549000000000000000000000000000000000C2 -S315400454A000000000000000000000000000000000B2 -S315400454B000000000000000000000000000000000A2 -S315400454C00000000000000000000000000000000092 -S315400454D00000000000000000000000000000000082 -S315400454E00000000000000000000000000000000072 -S315400454F00000000000000000000000000000000062 -S315400455000000000000000000000000000000000051 -S315400455100000000000000000000000000000000041 -S315400455200000000000000000000000000000000031 -S315400455300000000000000000000000000000000021 -S315400455400000000000000000000000000000000011 -S315400455500000000000000000000000000000000001 -S3154004556000000000000000000000000000000000F1 -S3154004557000000000000000000000000000000000E1 -S3154004558000000000000000000000000000000000D1 -S3154004559000000000000000000000000000000000C1 -S315400455A000000000000000000000000000000000B1 -S315400455B000000000000000000000000000000000A1 -S315400455C00000000000000000000000000000000091 -S315400455D00000000000000000000000000000000081 -S315400455E00000000000000000000000000000000071 -S315400455F00000000000000000000000000000000061 -S315400456000000000000000000000000000000000050 -S315400456100000000000000000000000000000000040 -S315400456200000000000000000000000000000000030 -S315400456300000000000000000000000000000000020 -S315400456400000000000000000000000000000000010 -S315400456500000000000000000000000000000000000 -S3154004566000000000000000000000000000000000F0 -S3154004567000000000000000000000000000000000E0 -S3154004568000000000000000000000000000000000D0 -S3154004569000000000000000000000000000000000C0 -S315400456A000000000000000000000000000000000B0 -S315400456B000000000000000000000000000000000A0 -S315400456C00000000000000000000000000000000090 -S315400456D00000000000000000000000000000000080 -S315400456E00000000000000000000000000000000070 -S315400456F00000000000000000000000000000000060 -S31540045700000000000000000000000000000000004F -S31540045710000000000000000000000000000000003F -S31540045720000000000000000000000000000000002F -S31540045730000000000000000000000000000000001F -S31540045740000000000000000000000000000000000F -S3154004575000000000000000000000000000000000FF -S3154004576000000000000000000000000000000000EF -S3154004577000000000000000000000000000000000DF -S3154004578000000000000000000000000000000000CF -S3154004579000000000000000000000000000000000BF -S315400457A000000000000000000000000000000000AF -S315400457B0000000000000000000000000000000009F -S315400457C0000000000000000000000000000000008F -S315400457D0000000000000000000000000000000007F -S315400457E0000000000000000000000000000000006F -S315400457F0000000000000000000000000000000005F -S31540045800000000000000000000000000000000004E -S31540045810000000000000000000000000000000003E -S31540045820000000000000000000000000000000002E -S31540045830000000000000000000000000000000001E -S31540045840000000000000000000000000000000000E -S3154004585000000000000000000000000000000000FE -S3154004586000000000000000000000000000000000EE -S3154004587000000000000000000000000000000000DE -S3154004588000000000000000000000000000000000CE -S3154004589000000000000000000000000000000000BE -S315400458A000000000000000000000000000000000AE -S315400458B0000000000000000000000000000000009E -S315400458C0000000000000000000000000000000008E -S315400458D0000000000000000000000000000000007E -S315400458E0000000000000000000000000000000006E -S315400458F0000000000000000000000000000000005E -S31540045900000000000000000000000000000000004D -S31540045910000000000000000000000000000000003D -S31540045920000000000000000000000000000000002D -S31540045930000000000000000000000000000000001D -S31540045940000000000000000000000000000000000D -S3154004595000000000000000000000000000000000FD -S3154004596000000000000000000000000000000000ED -S3154004597000000000000000000000000000000000DD -S3154004598000000000000000000000000000000000CD -S3154004599000000000000000000000000000000000BD -S315400459A000000000000000000000000000000000AD -S315400459B0000000000000000000000000000000009D -S315400459C0000000000000000000000000000000008D -S315400459D0000000000000000000000000000000007D -S315400459E0000000000000000000000000000000006D -S315400459F0000000000000000000000000000000005D -S31540045A00000000000000000000000000000000004C -S31540045A10000000000000000000000000000000003C -S31540045A20000000000000000000000000000000002C -S31540045A30000000000000000000000000000000001C -S31540045A40000000000000000000000000000000000C -S31540045A5000000000000000000000000000000000FC -S31540045A6000000000000000000000000000000000EC -S31540045A7000000000000000000000000000000000DC -S31540045A8000000000000000000000000000000000CC -S31540045A9000000000000000000000000000000000BC -S31540045AA000000000000000000000000000000000AC -S31540045AB0000000000000000000000000000000009C -S31540045AC0000000000000000000000000000000008C -S31540045AD0000000000000000000000000000000007C -S31540045AE0000000000000000000000000000000006C -S31540045AF0000000000000000000000000000000005C -S31540045B00000000000000000000000000000000004B -S31540045B10000000000000000000000000000000003B -S31540045B20000000000000000000000000000000002B -S31540045B30000000000000000000000000000000001B -S31540045B40000000000000000000000000000000000B -S31540045B5000000000000000000000000000000000FB -S31540045B6000000000000000000000000000000000EB -S31540045B7000000000000000000000000000000000DB -S31540045B8000000000000000000000000000000000CB -S31540045B9000000000000000000000000000000000BB -S31540045BA000000000000000000000000000000000AB -S31540045BB0000000000000000000000000000000009B -S31540045BC0000000000000000000000000000000008B -S31540045BD0000000000000000000000000000000007B -S31540045BE0000000000000000000000000000000006B -S31540045BF0000000000000000000000000000000005B -S31540045C00000000000000000000000000000000004A -S31540045C10000000000000000000000000000000003A -S31540045C20000000000000000000000000000000002A -S31540045C30000000000000000000000000000000001A -S31540045C40000000000000000000000000000000000A -S31540045C5000000000000000000000000000000000FA -S31540045C6000000000000000000000000000000000EA -S31540045C7000000000000000000000000000000000DA -S31540045C8000000000000000000000000000000000CA -S31540045C9000000000000000000000000000000000BA -S31540045CA000000000000000000000000000000000AA -S31540045CB0000000000000000000000000000000009A -S31540045CC0000000000000000000000000000000008A -S31540045CD0000000000000000000000000000000007A -S31540045CE0000000000000000000000000000000006A -S31540045CF0000000000000000000000000000000005A -S31540045D000000000000000000000000000000000049 -S31540045D100000000000000000000000000000000039 -S31540045D200000000000000000000000000000000029 -S31540045D300000000000000000000000000000000019 -S31540045D400000000000000000000000000000000009 -S31540045D5000000000000000000000000000000000F9 -S31540045D6000000000000000000000000000000000E9 -S31540045D7000000000000000000000000000000000D9 -S31540045D8000000000000000000000000000000000C9 -S31540045D9000000000000000000000000000000000B9 -S31540045DA000000000000000000000000000000000A9 -S31540045DB00000000000000000000000000000000099 -S31540045DC00000000000000000000000000000000089 -S31540045DD00000000000000000000000000000000079 -S31540045DE00000000000000000000000000000000069 -S31540045DF00000000000000000000000000000000059 -S31540045E000000000000000000000000000000000048 -S31540045E100000000000000000000000000000000038 -S31540045E200000000000000000000000000000000028 -S31540045E300000000000000000000000000000000018 -S31540045E400000000000000000000000000000000008 -S31540045E5000000000000000000000000000000000F8 -S31540045E6000000000000000000000000000000000E8 -S31540045E7000000000000000000000000000000000D8 -S31540045E8000000000000000000000000000000000C8 -S31540045E9000000000000000000000000000000000B8 -S31540045EA000000000000000000000000000000000A8 -S31540045EB00000000000000000000000000000000098 -S31540045EC00000000000000000000000000000000088 -S31540045ED00000000000000000000000000000000078 -S31540045EE00000000000000000000000000000000068 -S31540045EF00000000000000000000000000000000058 -S31540045F000000000000000000000000000000000047 -S31540045F100000000000000000000000000000000037 -S31540045F200000000000000000000000000000000027 -S31540045F300000000000000000000000000000000017 -S31540045F400000000000000000000000000000000007 -S31540045F5000000000000000000000000000000000F7 -S31540045F6000000000000000000000000000000000E7 -S31540045F7000000000000000000000000000000000D7 -S31540045F8000000000000000000000000000000000C7 -S31540045F9000000000000000000000000000000000B7 -S31540045FA000000000000000000000000000000000A7 -S31540045FB00000000000000000000000000000000097 -S31540045FC00000000000000000000000000000000087 -S31540045FD00000000000000000000000000000000077 -S31540045FE00000000000000000000000000000000067 -S31540045FF00000000000000000000000000000000057 -S315400460000000000000000000000000000000000046 -S315400460100000000000000000000000000000000036 -S315400460200000000000000000000000000000000026 -S315400460300000000000000000000000000000000016 -S315400460400000000000000000000000000000000006 -S3154004605000000000000000000000000000000000F6 -S3154004606000000000000000000000000000000000E6 -S3154004607000000000000000000000000000000000D6 -S3154004608000000000000000000000000000000000C6 -S3154004609000000000000000000000000000000000B6 -S315400460A000000000000000000000000000000000A6 -S315400460B00000000000000000000000000000000096 -S315400460C00000000000000000000000000000000086 -S315400460D00000000000000000000000000000000076 -S315400460E00000000000000000000000000000000066 -S315400460F00000000000000000000000000000000056 -S315400461000000000000000000000000000000000045 -S315400461100000000000000000000000000000000035 -S315400461200000000000000000000000000000000025 -S315400461300000000000000000000000000000000015 -S315400461400000000000000000000000000000000005 -S3154004615000000000000000000000000000000000F5 -S3154004616000000000000000000000000000000000E5 -S3154004617000000000000000000000000000000000D5 -S3154004618000000000000000000000000000000000C5 -S3154004619000000000000000000000000000000000B5 -S315400461A000000000000000000000000000000000A5 -S315400461B00000000000000000000000000000000095 -S315400461C00000000000000000000000000000000085 -S315400461D00000000000000000000000000000000075 -S315400461E00000000000000000000000000000000065 -S315400461F00000000000000000000000000000000055 -S315400462000000000000000000000000000000000044 -S315400462100000000000000000000000000000000034 -S315400462200000000000000000000000000000000024 -S315400462300000000000000000000000000000000014 -S315400462400000000000000000000000000000000004 -S3154004625000000000000000000000000000000000F4 -S3154004626000000000000000000000000000000000E4 -S3154004627000000000000000000000000000000000D4 -S3154004628000000000000000000000000000000000C4 -S3154004629000000000000000000000000000000000B4 -S315400462A000000000000000000000000000000000A4 -S315400462B00000000000000000000000000000000094 -S315400462C00000000000000000000000000000000084 -S315400462D00000000000000000000000000000000074 -S315400462E00000000000000000000000000000000064 -S315400462F00000000000000000000000000000000054 -S315400463000000000000000000000000000000000043 -S315400463100000000000000000000000000000000033 -S315400463200000000000000000000000000000000023 -S315400463300000000000000000000000000000000013 -S315400463400000000000000000000000000000000003 -S3154004635000000000000000000000000000000000F3 -S3154004636000000000000000000000000000000000E3 -S3154004637000000000000000000000000000000000D3 -S3154004638000000000000000000000000000000000C3 -S3154004639000000000000000000000000000000000B3 -S315400463A000000000000000000000000000000000A3 -S315400463B00000000000000000000000000000000093 -S315400463C00000000000000000000000000000000083 -S315400463D00000000000000000000000000000000073 -S315400463E00000000000000000000000000000000063 -S315400463F00000000000000000000000000000000053 -S315400464000000000000000000000000000000000042 -S315400464100000000000000000000000000000000032 -S315400464200000000000000000000000000000000022 -S315400464300000000000000000000000000000000012 -S315400464400000000000000000000000000000000002 -S3154004645000000000000000000000000000000000F2 -S3154004646000000000000000000000000000000000E2 -S3154004647000000000000000000000000000000000D2 -S3154004648000000000000000000000000000000000C2 -S3154004649000000000000000000000000000000000B2 -S315400464A000000000000000000000000000000000A2 -S315400464B00000000000000000000000000000000092 -S315400464C00000000000000000000000000000000082 -S315400464D00000000000000000000000000000000072 -S315400464E00000000000000000000000000000000062 -S315400464F00000000000000000000000000000000052 -S315400465000000000000000000000000000000000041 -S315400465100000000000000000000000000000000031 -S315400465200000000000000000000000000000000021 -S315400465300000000000000000000000000000000011 -S315400465400000000000000000000000000000000001 -S3154004655000000000000000000000000000000000F1 -S3154004656000000000000000000000000000000000E1 -S3154004657000000000000000000000000000000000D1 -S3154004658000000000000000000000000000000000C1 -S3154004659000000000000000000000000000000000B1 -S315400465A000000000000000000000000000000000A1 -S315400465B00000000000000000000000000000000091 -S315400465C00000000000000000000000000000000081 -S315400465D00000000000000000000000000000000071 -S315400465E00000000000000000000000000000000061 -S315400465F00000000000000000000000000000000051 -S315400466000000000000000000000000000000000040 -S315400466100000000000000000000000000000000030 -S315400466200000000000000000000000000000000020 -S315400466300000000000000000000000000000000010 -S315400466400000000000000000000000000000000000 -S3154004665000000000000000000000000000000000F0 -S3154004666000000000000000000000000000000000E0 -S3154004667000000000000000000000000000000000D0 -S3154004668000000000000000000000000000000000C0 -S3154004669000000000000000000000000000000000B0 -S315400466A000000000000000000000000000000000A0 -S315400466B00000000000000000000000000000000090 -S315400466C00000000000000000000000000000000080 -S315400466D00000000000000000000000000000000070 -S315400466E00000000000000000000000000000000060 -S315400466F00000000000000000000000000000000050 -S31540046700000000000000000000000000000000003F -S31540046710000000000000000000000000000000002F -S31540046720000000000000000000000000000000001F -S31540046730000000000000000000000000000000000F -S3154004674000000000000000000000000000000000FF -S3154004675000000000000000000000000000000000EF -S3154004676000000000000000000000000000000000DF -S3154004677000000000000000000000000000000000CF -S3154004678000000000000000000000000000000000BF -S3154004679000000000000000000000000000000000AF -S315400467A0000000000000000000000000000000009F -S315400467B0000000000000000000000000000000008F -S315400467C0000000000000000000000000000000007F -S315400467D0000000000000000000000000000000006F -S315400467E0000000000000000000000000000000005F -S315400467F0000000000000000000000000000000004F -S31540046800000000000000000000000000000000003E -S31540046810000000000000000000000000000000002E -S31540046820000000000000000000000000000000001E -S31540046830000000000000000000000000000000000E -S3154004684000000000000000000000000000000000FE -S3154004685000000000000000000000000000000000EE -S3154004686000000000000000000000000000000000DE -S3154004687000000000000000000000000000000000CE -S3154004688000000000000000000000000000000000BE -S3154004689000000000000000000000000000000000AE -S315400468A0000000000000000000000000000000009E -S315400468B0000000000000000000000000000000008E -S315400468C0000000000000000000000000000000007E -S315400468D0000000000000000000000000000000006E -S315400468E0000000000000000000000000000000005E -S315400468F0000000000000000000000000000000004E -S31540046900000000000000000000000000000000003D -S31540046910000000000000000000000000000000002D -S31540046920000000000000000000000000000000001D -S31540046930000000000000000000000000000000000D -S3154004694000000000000000000000000000000000FD -S3154004695000000000000000000000000000000000ED -S3154004696000000000000000000000000000000000DD -S3154004697000000000000000000000000000000000CD -S3154004698000000000000000000000000000000000BD -S3154004699000000000000000000000000000000000AD -S315400469A0000000000000000000000000000000009D -S315400469B0000000000000000000000000000000008D -S315400469C0000000000000000000000000000000007D -S315400469D0000000000000000000000000000000006D -S315400469E0000000000000000000000000000000005D -S315400469F0000000000000000000000000000000004D -S31540046A00000000000000000000000000000000003C -S31540046A10000000000000000000000000000000002C -S31540046A20000000000000000000000000000000001C -S31540046A30000000000000000000000000000000000C -S31540046A4000000000000000000000000000000000FC -S31540046A5000000000000000000000000000000000EC -S31540046A6000000000000000000000000000000000DC -S31540046A7000000000000000000000000000000000CC -S31540046A8000000000000000000000000000000000BC -S31540046A9000000000000000000000000000000000AC -S31540046AA0000000000000000000000000000000009C -S31540046AB0000000000000000000000000000000008C -S31540046AC0000000000000000000000000000000007C -S31540046AD0000000000000000000000000000000006C -S31540046AE0000000000000000000000000000000005C -S31540046AF0000000000000000000000000000000004C -S31540046B00000000000000000000000000000000003B -S31540046B10000000000000000000000000000000002B -S31540046B20000000000000000000000000000000001B -S31540046B30000000000000000000000000000000000B -S31540046B4000000000000000000000000000000000FB -S31540046B5000000000000000000000000000000000EB -S31540046B6000000000000000000000000000000000DB -S31540046B7000000000000000000000000000000000CB -S31540046B8000000000000000000000000000000000BB -S31540046B9000000000000000000000000000000000AB -S31540046BA0000000000000000000000000000000009B -S31540046BB0000000000000000000000000000000008B -S31540046BC0000000000000000000000000000000007B -S31540046BD0000000000000000000000000000000006B -S31540046BE0000000000000000000000000000000005B -S31540046BF0000000000000000000000000000000004B -S31540046C00000000000000000000000000000000003A -S31540046C10000000000000000000000000000000002A -S31540046C20000000000000000000000000000000001A -S31540046C30000000000000000000000000000000000A -S31540046C4000000000000000000000000000000000FA -S31540046C5000000000000000000000000000000000EA -S31540046C6000000000000000000000000000000000DA -S31540046C7000000000000000000000000000000000CA -S31540046C8000000000000000000000000000000000BA -S31540046C9000000000000000000000000000000000AA -S31540046CA0000000000000000000000000000000009A -S31540046CB0000000000000000000000000000000008A -S31540046CC0000000000000000000000000000000007A -S31540046CD0000000000000000000000000000000006A -S31540046CE0000000000000000000000000000000005A -S31540046CF0000000000000000000000000000000004A -S31540046D000000000000000000000000000000000039 -S31540046D100000000000000000000000000000000029 -S31540046D200000000000000000000000000000000019 -S31540046D300000000000000000000000000000000009 -S31540046D4000000000000000000000000000000000F9 -S31540046D5000000000000000000000000000000000E9 -S31540046D6000000000000000000000000000000000D9 -S31540046D7000000000000000000000000000000000C9 -S31540046D8000000000000000000000000000000000B9 -S31540046D9000000000000000000000000000000000A9 -S31540046DA00000000000000000000000000000000099 -S31540046DB00000000000000000000000000000000089 -S31540046DC00000000000000000000000000000000079 -S31540046DD00000000000000000000000000000000069 -S31540046DE00000000000000000000000000000000059 -S31540046DF00000000000000000000000000000000049 -S31540046E000000000000000000000000000000000038 -S31540046E100000000000000000000000000000000028 -S31540046E200000000000000000000000000000000018 -S31540046E300000000000000000000000000000000008 -S31540046E4000000000000000000000000000000000F8 -S31540046E5000000000000000000000000000000000E8 -S31540046E6000000000000000000000000000000000D8 -S31540046E7000000000000000000000000000000000C8 -S31540046E8000000000000000000000000000000000B8 -S31540046E9000000000000000000000000000000000A8 -S31540046EA00000000000000000000000000000000098 -S31540046EB00000000000000000000000000000000088 -S31540046EC00000000000000000000000000000000078 -S31540046ED00000000000000000000000000000000068 -S31540046EE00000000000000000000000000000000058 -S31540046EF00000000000000000000000000000000048 -S31540046F000000000000000000000000000000000037 -S31540046F100000000000000000000000000000000027 -S31540046F200000000000000000000000000000000017 -S31540046F300000000000000000000000000000000007 -S31540046F4000000000000000000000000000000000F7 -S31540046F5000000000000000000000000000000000E7 -S31540046F6000000000000000000000000000000000D7 -S31540046F7000000000000000000000000000000000C7 -S31540046F8000000000000000000000000000000000B7 -S31540046F9000000000000000000000000000000000A7 -S31540046FA00000000000000000000000000000000097 -S31540046FB00000000000000000000000000000000087 -S31540046FC00000000000000000000000000000000077 -S31540046FD00000000000000000000000000000000067 -S31540046FE00000000000000000000000000000000057 -S31540046FF00000000000000000000000000000000047 -S315400470000000000000000000000000000000000036 -S315400470100000000000000000000000000000000026 -S315400470200000000000000000000000000000000016 -S315400470300000000000000000000000000000000006 -S3154004704000000000000000000000000000000000F6 -S3154004705000000000000000000000000000000000E6 -S3154004706000000000000000000000000000000000D6 -S3154004707000000000000000000000000000000000C6 -S3154004708000000000000000000000000000000000B6 -S3154004709000000000000000000000000000000000A6 -S315400470A00000000000000000000000000000000096 -S315400470B00000000000000000000000000000000086 -S315400470C00000000000000000000000000000000076 -S315400470D00000000000000000000000000000000066 -S315400470E00000000000000000000000000000000056 -S315400470F00000000000000000000000000000000046 -S315400471000000000000000000000000000000000035 -S315400471100000000000000000000000000000000025 -S315400471200000000000000000000000000000000015 -S315400471300000000000000000000000000000000005 -S3154004714000000000000000000000000000000000F5 -S3154004715000000000000000000000000000000000E5 -S3154004716000000000000000000000000000000000D5 -S3154004717000000000000000000000000000000000C5 -S3154004718000000000000000000000000000000000B5 -S3154004719000000000000000000000000000000000A5 -S315400471A00000000000000000000000000000000095 -S315400471B00000000000000000000000000000000085 -S315400471C00000000000000000000000000000000075 -S315400471D00000000000000000000000000000000065 -S315400471E00000000000000000000000000000000055 -S315400471F00000000000000000000000000000000045 -S315400472000000000000000000000000000000000034 -S315400472100000000000000000000000000000000024 -S315400472200000000000000000000000000000000014 -S315400472300000000000000000000000000000000004 -S3154004724000000000000000000000000000000000F4 -S3154004725000000000000000000000000000000000E4 -S3154004726000000000000000000000000000000000D4 -S3154004727000000000000000000000000000000000C4 -S3154004728000000000000000000000000000000000B4 -S3154004729000000000000000000000000000000000A4 -S315400472A00000000000000000000000000000000094 -S315400472B00000000000000000000000000000000084 -S315400472C00000000000000000000000000000000074 -S315400472D00000000000000000000000000000000064 -S315400472E00000000000000000000000000000000054 -S315400472F00000000000000000000000000000000044 -S315400473000000000000000000000000000000000033 -S315400473100000000000000000000000000000000023 -S315400473200000000000000000000000000000000013 -S315400473300000000000000000000000000000000003 -S3154004734000000000000000000000000000000000F3 -S3154004735000000000000000000000000000000000E3 -S3154004736000000000000000000000000000000000D3 -S3154004737000000000000000000000000000000000C3 -S3154004738000000000000000000000000000000000B3 -S3154004739000000000000000000000000000000000A3 -S315400473A00000000000000000000000000000000093 -S315400473B00000000000000000000000000000000083 -S315400473C00000000000000000000000000000000073 -S315400473D00000000000000000000000000000000063 -S315400473E00000000000000000000000000000000053 -S315400473F00000000000000000000000000000000043 -S315400474000000000000000000000000000000000032 -S315400474100000000000000000000000000000000022 -S315400474200000000000000000000000000000000012 -S315400474300000000000000000000000000000000002 -S3154004744000000000000000000000000000000000F2 -S3154004745000000000000000000000000000000000E2 -S3154004746000000000000000000000000000000000D2 -S3154004747000000000000000000000000000000000C2 -S3154004748000000000000000000000000000000000B2 -S3154004749000000000000000000000000000000000A2 -S315400474A00000000000000000000000000000000092 -S315400474B00000000000000000000000000000000082 -S315400474C00000000000000000000000000000000072 -S315400474D00000000000000000000000000000000062 -S315400474E00000000000000000000000000000000052 -S315400474F00000000000000000000000000000000042 -S315400475000000000000000000000000000000000031 -S315400475100000000000000000000000000000000021 -S315400475200000000000000000000000000000000011 -S315400475300000000000000000000000000000000001 -S3154004754000000000000000000000000000000000F1 -S3154004755000000000000000000000000000000000E1 -S3154004756000000000000000000000000000000000D1 -S3154004757000000000000000000000000000000000C1 -S3154004758000000000000000000000000000000000B1 -S3154004759000000000000000000000000000000000A1 -S315400475A00000000000000000000000000000000091 -S315400475B00000000000000000000000000000000081 -S315400475C00000000000000000000000000000000071 -S315400475D00000000000000000000000000000000061 -S315400475E00000000000000000000000000000000051 -S315400475F00000000000000000000000000000000041 -S315400476000000000000000000000000000000000030 -S315400476100000000000000000000000000000000020 -S315400476200000000000000000000000000000000010 -S315400476300000000000000000000000000000000000 -S3154004764000000000000000000000000000000000F0 -S3154004765000000000000000000000000000000000E0 -S3154004766000000000000000000000000000000000D0 -S3154004767000000000000000000000000000000000C0 -S3154004768000000000000000000000000000000000B0 -S3154004769000000000000000000000000000000000A0 -S315400476A00000000000000000000000000000000090 -S315400476B00000000000000000000000000000000080 -S315400476C00000000000000000000000000000000070 -S315400476D00000000000000000000000000000000060 -S315400476E00000000000000000000000000000000050 -S315400476F00000000000000000000000000000000040 -S31540047700000000000000000000000000000000002F -S31540047710000000000000000000000000000000001F -S31540047720000000000000000000000000000000000F -S3154004773000000000000000000000000000000000FF -S3154004774000000000000000000000000000000000EF -S3154004775000000000000000000000000000000000DF -S3154004776000000000000000000000000000000000CF -S3154004777000000000000000000000000000000000BF -S3154004778000000000000000000000000000000000AF -S31540047790000000000000000000000000000000009F -S315400477A0000000000000000000000000000000008F -S315400477B0000000000000000000000000000000007F -S315400477C0000000000000000000000000000000006F -S315400477D0000000000000000000000000000000005F -S315400477E0000000000000000000000000000000004F -S315400477F0000000000000000000000000000000003F -S31540047800000000000000000000000000000000002E -S31540047810000000000000000000000000000000001E -S31540047820000000000000000000000000000000000E -S3154004783000000000000000000000000000000000FE -S3154004784000000000000000000000000000000000EE -S3154004785000000000000000000000000000000000DE -S3154004786000000000000000000000000000000000CE -S3154004787000000000000000000000000000000000BE -S3154004788000000000000000000000000000000000AE -S31540047890000000000000000000000000000000009E -S315400478A0000000000000000000000000000000008E -S315400478B0000000000000000000000000000000007E -S315400478C0000000000000000000000000000000006E -S315400478D0000000000000000000000000000000005E -S315400478E0000000000000000000000000000000004E -S315400478F0000000000000000000000000000000003E -S31540047900000000000000000000000000000000002D -S31540047910000000000000000000000000000000001D -S31540047920000000000000000000000000000000000D -S3154004793000000000000000000000000000000000FD -S3154004794000000000000000000000000000000000ED -S3154004795000000000000000000000000000000000DD -S3154004796000000000000000000000000000000000CD -S3154004797000000000000000000000000000000000BD -S3154004798000000000000000000000000000000000AD -S31540047990000000000000000000000000000000009D -S315400479A0000000000000000000000000000000008D -S315400479B0000000000000000000000000000000007D -S315400479C0000000000000000000000000000000006D -S315400479D0000000000000000000000000000000005D -S315400479E0000000000000000000000000000000004D -S315400479F0000000000000000000000000000000003D -S31540047A00000000000000000000000000000000002C -S31540047A10000000000000000000000000000000001C -S31540047A20000000000000000000000000000000000C -S31540047A3000000000000000000000000000000000FC -S31540047A4000000000000000000000000000000000EC -S31540047A5000000000000000000000000000000000DC -S31540047A6000000000000000000000000000000000CC -S31540047A7000000000000000000000000000000000BC -S31540047A8000000000000000000000000000000000AC -S31540047A90000000000000000000000000000000009C -S31540047AA0000000000000000000000000000000008C -S31540047AB0000000000000000000000000000000007C -S31540047AC0000000000000000000000000000000006C -S31540047AD0000000000000000000000000000000005C -S31540047AE0000000000000000000000000000000004C -S31540047AF0000000000000000000000000000000003C -S31540047B00000000000000000000000000000000002B -S31540047B10000000000000000000000000000000001B -S31540047B20000000000000000000000000000000000B -S31540047B3000000000000000000000000000000000FB -S31540047B4000000000000000000000000000000000EB -S31540047B5000000000000000000000000000000000DB -S31540047B6000000000000000000000000000000000CB -S31540047B7000000000000000000000000000000000BB -S31540047B8000000000000000000000000000000000AB -S31540047B90000000000000000000000000000000009B -S31540047BA0000000000000000000000000000000008B -S31540047BB0000000000000000000000000000000007B -S31540047BC0000000000000000000000000000000006B -S31540047BD0000000000000000000000000000000005B -S31540047BE0000000000000000000000000000000004B -S31540047BF0000000000000000000000000000000003B -S31540047C00000000000000000000000000000000002A -S31540047C10000000000000000000000000000000001A -S31540047C20000000000000000000000000000000000A -S31540047C3000000000000000000000000000000000FA -S31540047C4000000000000000000000000000000000EA -S31540047C5000000000000000000000000000000000DA -S31540047C6000000000000000000000000000000000CA -S31540047C7000000000000000000000000000000000BA -S31540047C8000000000000000000000000000000000AA -S31540047C90000000000000000000000000000000009A -S31540047CA0000000000000000000000000000000008A -S31540047CB0000000000000000000000000000000007A -S31540047CC0000000000000000000000000000000006A -S31540047CD0000000000000000000000000000000005A -S31540047CE0000000000000000000000000000000004A -S31540047CF0000000000000000000000000000000003A -S31540047D000000000000000000000000000000000029 -S31540047D100000000000000000000000000000000019 -S31540047D200000000000000000000000000000000009 -S31540047D3000000000000000000000000000000000F9 -S31540047D4000000000000000000000000000000000E9 -S31540047D5000000000000000000000000000000000D9 -S31540047D6000000000000000000000000000000000C9 -S31540047D7000000000000000000000000000000000B9 -S31540047D8000000000000000000000000000000000A9 -S31540047D900000000000000000000000000000000099 -S31540047DA00000000000000000000000000000000089 -S31540047DB00000000000000000000000000000000079 -S31540047DC00000000000000000000000000000000069 -S31540047DD00000000000000000000000000000000059 -S31540047DE00000000000000000000000000000000049 -S31540047DF00000000000000000000000000000000039 -S31540047E000000000000000000000000000000000028 -S31540047E100000000000000000000000000000000018 -S31540047E200000000000000000000000000000000008 -S31540047E3000000000000000000000000000000000F8 -S31540047E4000000000000000000000000000000000E8 -S31540047E5000000000000000000000000000000000D8 -S31540047E6000000000000000000000000000000000C8 -S31540047E7000000000000000000000000000000000B8 -S31540047E8000000000000000000000000000000000A8 -S31540047E900000000000000000000000000000000098 -S31540047EA00000000000000000000000000000000088 -S31540047EB00000000000000000000000000000000078 -S31540047EC00000000000000000000000000000000068 -S31540047ED00000000000000000000000000000000058 -S31540047EE00000000000000000000000000000000048 -S31540047EF00000000000000000000000000000000038 -S31540047F000000000000000000000000000000000027 -S31540047F100000000000000000000000000000000017 -S31540047F200000000000000000000000000000000007 -S31540047F3000000000000000000000000000000000F7 -S31540047F4000000000000000000000000000000000E7 -S31540047F5000000000000000000000000000000000D7 -S31540047F6000000000000000000000000000000000C7 -S31540047F7000000000000000000000000000000000B7 -S31540047F8000000000000000000000000000000000A7 -S31540047F900000000000000000000000000000000097 -S31540047FA00000000000000000000000000000000087 -S31540047FB00000000000000000000000000000000077 -S31540047FC00000000000000000000000000000000067 -S31540047FD00000000000000000000000000000000057 -S31540047FE00000000000000000000000000000000047 -S31540047FF00000000000000000000000000000000037 -S315400480000000000000000000000000000000000026 -S315400480100000000000000000000000000000000016 -S315400480200000000000000000000000000000000006 -S3154004803000000000000000000000000000000000F6 -S3154004804000000000000000000000000000000000E6 -S3154004805000000000000000000000000000000000D6 -S3154004806000000000000000000000000000000000C6 -S3154004807000000000000000000000000000000000B6 -S3154004808000000000000000000000000000000000A6 -S315400480900000000000000000000000000000000096 -S315400480A00000000000000000000000000000000086 -S315400480B00000000000000000000000000000000076 -S315400480C00000000000000000000000000000000066 -S315400480D00000000000000000000000000000000056 -S315400480E00000000000000000000000000000000046 -S315400480F00000000000000000000000000000000036 -S315400481000000000000000000000000000000000025 -S315400481100000000000000000000000000000000015 -S315400481200000000000000000000000000000000005 -S3154004813000000000000000000000000000000000F5 -S3154004814000000000000000000000000000000000E5 -S3154004815000000000000000000000000000000000D5 -S3154004816000000000000000000000000000000000C5 -S3154004817000000000000000000000000000000000B5 -S3154004818000000000000000000000000000000000A5 -S315400481900000000000000000000000000000000095 -S315400481A00000000000000000000000000000000085 -S315400481B00000000000000000000000000000000075 -S315400481C00000000000000000000000000000000065 -S315400481D00000000000000000000000000000000055 -S315400481E00000000000000000000000000000000045 -S315400481F00000000000000000000000000000000035 -S315400482000000000000000000000000000000000024 -S315400482100000000000000000000000000000000014 -S315400482200000000000000000000000000000000004 -S3154004823000000000000000000000000000000000F4 -S3154004824000000000000000000000000000000000E4 -S3154004825000000000000000000000000000000000D4 -S3154004826000000000000000000000000000000000C4 -S3154004827000000000000000000000000000000000B4 -S3154004828000000000000000000000000000000000A4 -S315400482900000000000000000000000000000000094 -S315400482A00000000000000000000000000000000084 -S315400482B00000000000000000000000000000000074 -S315400482C00000000000000000000000000000000064 -S315400482D00000000000000000000000000000000054 -S315400482E00000000000000000000000000000000044 -S315400482F00000000000000000000000000000000034 -S315400483000000000000000000000000000000000023 -S315400483100000000000000000000000000000000013 -S315400483200000000000000000000000000000000003 -S3154004833000000000000000000000000000000000F3 -S3154004834000000000000000000000000000000000E3 -S3154004835000000000000000000000000000000000D3 -S3154004836000000000000000000000000000000000C3 -S3154004837000000000000000000000000000000000B3 -S3154004838000000000000000000000000000000000A3 -S315400483900000000000000000000000000000000093 -S315400483A00000000000000000000000000000000083 -S315400483B00000000000000000000000000000000073 -S315400483C00000000000000000000000000000000063 -S315400483D00000000000000000000000000000000053 -S315400483E00000000000000000000000000000000043 -S315400483F00000000000000000000000000000000033 -S315400484000000000000000000000000000000000022 -S315400484100000000000000000000000000000000012 -S315400484200000000000000000000000000000000002 -S3154004843000000000000000000000000000000000F2 -S3154004844000000000000000000000000000000000E2 -S3154004845000000000000000000000000000000000D2 -S3154004846000000000000000000000000000000000C2 -S3154004847000000000000000000000000000000000B2 -S3154004848000000000000000000000000000000000A2 -S315400484900000000000000000000000000000000092 -S315400484A00000000000000000000000000000000082 -S315400484B00000000000000000000000000000000072 -S315400484C00000000000000000000000000000000062 -S315400484D00000000000000000000000000000000052 -S315400484E00000000000000000000000000000000042 -S315400484F00000000000000000000000000000000032 -S315400485000000000000000000000000000000000021 -S315400485100000000000000000000000000000000011 -S315400485200000000000000000000000000000000001 -S3154004853000000000000000000000000000000000F1 -S3154004854000000000000000000000000000000000E1 -S3154004855000000000000000000000000000000000D1 -S3154004856000000000000000000000000000000000C1 -S3154004857000000000000000000000000000000000B1 -S3154004858000000000000000000000000000000000A1 -S315400485900000000000000000000000000000000091 -S315400485A00000000000000000000000000000000081 -S315400485B00000000000000000000000000000000071 -S315400485C00000000000000000000000000000000061 -S315400485D00000000000000000000000000000000051 -S315400485E00000000000000000000000000000000041 -S315400485F00000000000000000000000000000000031 -S315400486000000000000000000000000000000000020 -S315400486100000000000000000000000000000000010 -S315400486200000000000000000000000000000000000 -S3154004863000000000000000000000000000000000F0 -S3154004864000000000000000000000000000000000E0 -S3154004865000000000000000000000000000000000D0 -S3154004866000000000000000000000000000000000C0 -S3154004867000000000000000000000000000000000B0 -S3154004868000000000000000000000000000000000A0 -S315400486900000000000000000000000000000000090 -S315400486A00000000000000000000000000000000080 -S315400486B00000000000000000000000000000000070 -S315400486C00000000000000000000000000000000060 -S315400486D00000000000000000000000000000000050 -S315400486E00000000000000000000000000000000040 -S315400486F00000000000000000000000000000000030 -S31540048700000000000000000000000000000000001F -S31540048710000000000000000000000000000000000F -S3154004872000000000000000000000000000000000FF -S3154004873000000000000000000000000000000000EF -S3154004874000000000000000000000000000000000DF -S3154004875000000000000000000000000000000000CF -S3154004876000000000000000000000000000000000BF -S3154004877000000000000000000000000000000000AF -S31540048780000000000000000000000000000000009F -S31540048790000000000000000000000000000000008F -S315400487A0000000000000000000000000000000007F -S315400487B0000000000000000000000000000000006F -S315400487C0000000000000000000000000000000005F -S315400487D0000000000000000000000000000000004F -S315400487E0000000000000000000000000000000003F -S315400487F0000000000000000000000000000000002F -S31540048800000000000000000000000000000000001E -S31540048810000000000000000000000000000000000E -S3154004882000000000000000000000000000000000FE -S3154004883000000000000000000000000000000000EE -S3154004884000000000000000000000000000000000DE -S3154004885000000000000000000000000000000000CE -S3154004886000000000000000000000000000000000BE -S3154004887000000000000000000000000000000000AE -S31540048880000000000000000000000000000000009E -S31540048890000000000000000000000000000000008E -S315400488A0000000000000000000000000000000007E -S315400488B0000000000000000000000000000000006E -S315400488C0000000000000000000000000000000005E -S315400488D0000000000000000000000000000000004E -S315400488E0000000000000000000000000000000003E -S315400488F0000000000000000000000000000000002E -S31540048900000000000000000000000000000000001D -S31540048910000000000000000000000000000000000D -S3154004892000000000000000000000000000000000FD -S3154004893000000000000000000000000000000000ED -S3154004894000000000000000000000000000000000DD -S3154004895000000000000000000000000000000000CD -S3154004896000000000000000000000000000000000BD -S3154004897000000000000000000000000000000000AD -S31540048980000000000000000000000000000000009D -S31540048990000000000000000000000000000000008D -S315400489A0000000000000000000000000000000007D -S315400489B0000000000000000000000000000000006D -S315400489C0000000000000000000000000000000005D -S315400489D0000000000000000000000000000000004D -S315400489E0000000000000000000000000000000003D -S315400489F0000000000000000000000000000000002D -S31540048A00000000000000000000000000000000001C -S31540048A10000000000000000000000000000000000C -S31540048A2000000000000000000000000000000000FC -S31540048A3000000000000000000000000000000000EC -S31540048A4000000000000000000000000000000000DC -S31540048A5000000000000000000000000000000000CC -S31540048A6000000000000000000000000000000000BC -S31540048A7000000000000000000000000000000000AC -S31540048A80000000000000000000000000000000009C -S31540048A90000000000000000000000000000000008C -S31540048AA0000000000000000000000000000000007C -S31540048AB0000000000000000000000000000000006C -S31540048AC0000000000000000000000000000000005C -S31540048AD0000000000000000000000000000000004C -S31540048AE0000000000000000000000000000000003C -S31540048AF0000000000000000000000000000000002C -S31540048B00000000000000000000000000000000001B -S31540048B10000000000000000000000000000000000B -S31540048B2000000000000000000000000000000000FB -S31540048B3000000000000000000000000000000000EB -S31540048B4000000000000000000000000000000000DB -S31540048B5000000000000000000000000000000000CB -S31540048B6000000000000000000000000000000000BB -S31540048B7000000000000000000000000000000000AB -S31540048B80000000000000000000000000000000009B -S31540048B90000000000000000000000000000000008B -S31540048BA0000000000000000000000000000000007B -S31540048BB0000000000000000000000000000000006B -S31540048BC0000000000000000000000000000000005B -S31540048BD0000000000000000000000000000000004B -S31540048BE0000000000000000000000000000000003B -S31540048BF0000000000000000000000000000000002B -S31540048C00000000000000000000000000000000001A -S31540048C10000000000000000000000000000000000A -S31540048C2000000000000000000000000000000000FA -S31540048C3000000000000000000000000000000000EA -S31540048C4000000000000000000000000000000000DA -S31540048C5000000000000000000000000000000000CA -S31540048C6000000000000000000000000000000000BA -S31540048C7000000000000000000000000000000000AA -S31540048C80000000000000000000000000000000009A -S31540048C90000000000000000000000000000000008A -S31540048CA0000000000000000000000000000000007A -S31540048CB0000000000000000000000000000000006A -S31540048CC0000000000000000000000000000000005A -S31540048CD0000000000000000000000000000000004A -S31540048CE0000000000000000000000000000000003A -S31540048CF0000000000000000000000000000000002A -S31540048D000000000000000000000000000000000019 -S31540048D100000000000000000000000000000000009 -S31540048D2000000000000000000000000000000000F9 -S31540048D3000000000000000000000000000000000E9 -S31540048D4000000000000000000000000000000000D9 -S31540048D5000000000000000000000000000000000C9 -S31540048D6000000000000000000000000000000000B9 -S31540048D7000000000000000000000000000000000A9 -S31540048D800000000000000000000000000000000099 -S31540048D900000000000000000000000000000000089 -S31540048DA00000000000000000000000000000000079 -S31540048DB00000000000000000000000000000000069 -S31540048DC00000000000000000000000000000000059 -S31540048DD00000000000000000000000000000000049 -S31540048DE00000000000000000000000000000000039 -S31540048DF00000000000000000000000000000000029 -S31540048E000000000000000000000000000000000018 -S31540048E100000000000000000000000000000000008 -S31540048E2000000000000000000000000000000000F8 -S31540048E3000000000000000000000000000000000E8 -S31540048E4000000000000000000000000000000000D8 -S31540048E5000000000000000000000000000000000C8 -S31540048E6000000000000000000000000000000000B8 -S31540048E7000000000000000000000000000000000A8 -S31540048E800000000000000000000000000000000098 -S31540048E900000000000000000000000000000000088 -S31540048EA00000000000000000000000000000000078 -S31540048EB00000000000000000000000000000000068 -S31540048EC00000000000000000000000000000000058 -S31540048ED00000000000000000000000000000000048 -S31540048EE00000000000000000000000000000000038 -S31540048EF00000000000000000000000000000000028 -S31540048F000000000000000000000000000000000017 -S31540048F100000000000000000000000000000000007 -S31540048F2000000000000000000000000000000000F7 -S31540048F3000000000000000000000000000000000E7 -S31540048F4000000000000000000000000000000000D7 -S31540048F5000000000000000000000000000000000C7 -S31540048F6000000000000000000000000000000000B7 -S31540048F7000000000000000000000000000000000A7 -S31540048F800000000000000000000000000000000097 -S31540048F900000000000000000000000000000000087 -S31540048FA00000000000000000000000000000000077 -S31540048FB00000000000000000000000000000000067 -S31540048FC00000000000000000000000000000000057 -S31540048FD00000000000000000000000000000000047 -S31540048FE00000000000000000000000000000000037 -S31540048FF00000000000000000000000000000000027 -S315400490000000000000000000000000000000000016 -S315400490100000000000000000000000000000000006 -S3154004902000000000000000000000000000000000F6 -S3154004903000000000000000000000000000000000E6 -S3154004904000000000000000000000000000000000D6 -S3154004905000000000000000000000000000000000C6 -S3154004906000000000000000000000000000000000B6 -S3154004907000000000000000000000000000000000A6 -S315400490800000000000000000000000000000000096 -S315400490900000000000000000000000000000000086 -S315400490A00000000000000000000000000000000076 -S315400490B00000000000000000000000000000000066 -S315400490C00000000000000000000000000000000056 -S315400490D00000000000000000000000000000000046 -S315400490E00000000000000000000000000000000036 -S315400490F00000000000000000000000000000000026 -S315400491000000000000000000000000000000000015 -S315400491100000000000000000000000000000000005 -S3154004912000000000000000000000000000000000F5 -S3154004913000000000000000000000000000000000E5 -S3154004914000000000000000000000000000000000D5 -S3154004915000000000000000000000000000000000C5 -S3154004916000000000000000000000000000000000B5 -S3154004917000000000000000000000000000000000A5 -S315400491800000000000000000000000000000000095 -S315400491900000000000000000000000000000000085 -S315400491A00000000000000000000000000000000075 -S315400491B00000000000000000000000000000000065 -S315400491C00000000000000000000000000000000055 -S315400491D00000000000000000000000000000000045 -S315400491E00000000000000000000000000000000035 -S315400491F00000000000000000000000000000000025 -S315400492000000000000000000000000000000000014 -S315400492100000000000000000000000000000000004 -S3154004922000000000000000000000000000000000F4 -S3154004923000000000000000000000000000000000E4 -S3154004924000000000000000000000000000000000D4 -S3154004925000000000000000000000000000000000C4 -S3154004926000000000000000000000000000000000B4 -S3154004927000000000000000000000000000000000A4 -S315400492800000000000000000000000000000000094 -S315400492900000000000000000000000000000000084 -S315400492A00000000000000000000000000000000074 -S315400492B00000000000000000000000000000000064 -S315400492C00000000000000000000000000000000054 -S315400492D00000000000000000000000000000000044 -S315400492E00000000000000000000000000000000034 -S315400492F00000000000000000000000000000000024 -S315400493000000000000000000000000000000000013 -S315400493100000000000000000000000000000000003 -S3154004932000000000000000000000000000000000F3 -S3154004933000000000000000000000000000000000E3 -S3154004934000000000000000000000000000000000D3 -S3154004935000000000000000000000000000000000C3 -S3154004936000000000000000000000000000000000B3 -S3154004937000000000000000000000000000000000A3 -S315400493800000000000000000000000000000000093 -S315400493900000000000000000000000000000000083 -S315400493A00000000000000000000000000000000073 -S315400493B00000000000000000000000000000000063 -S315400493C00000000000000000000000000000000053 -S315400493D00000000000000000000000000000000043 -S315400493E00000000000000000000000000000000033 -S315400493F00000000000000000000000000000000023 -S315400494000000000000000000000000000000000012 -S315400494100000000000000000000000000000000002 -S3154004942000000000000000000000000000000000F2 -S3154004943000000000000000000000000000000000E2 -S3154004944000000000000000000000000000000000D2 -S3154004945000000000000000000000000000000000C2 -S3154004946000000000000000000000000000000000B2 -S3154004947000000000000000000000000000000000A2 -S315400494800000000000000000000000000000000092 -S315400494900000000000000000000000000000000082 -S315400494A00000000000000000000000000000000072 -S315400494B00000000000000000000000000000000062 -S315400494C00000000000000000000000000000000052 -S315400494D00000000000000000000000000000000042 -S315400494E00000000000000000000000000000000032 -S315400494F00000000000000000000000000000000022 -S315400495000000000000000000000000000000000011 -S315400495100000000000000000000000000000000001 -S3154004952000000000000000000000000000000000F1 -S3154004953000000000000000000000000000000000E1 -S3154004954000000000000000000000000000000000D1 -S3154004955000000000000000000000000000000000C1 -S3154004956000000000000000000000000000000000B1 -S3154004957000000000000000000000000000000000A1 -S315400495800000000000000000000000000000000091 -S315400495900000000000000000000000000000000081 -S315400495A00000000000000000000000000000000071 -S315400495B00000000000000000000000000000000061 -S315400495C00000000000000000000000000000000051 -S315400495D00000000000000000000000000000000041 -S315400495E00000000000000000000000000000000031 -S315400495F00000000000000000000000000000000021 -S315400496000000000000000000000000000000000010 -S315400496100000000000000000000000000000000000 -S3154004962000000000000000000000000000000000F0 -S3154004963000000000000000000000000000000000E0 -S3154004964000000000000000000000000000000000D0 -S3154004965000000000000000000000000000000000C0 -S3154004966000000000000000000000000000000000B0 -S3154004967000000000000000000000000000000000A0 -S315400496800000000000000000000000000000000090 -S315400496900000000000000000000000000000000080 -S315400496A00000000000000000000000000000000070 -S315400496B00000000000000000000000000000000060 -S315400496C00000000000000000000000000000000050 -S315400496D00000000000000000000000000000000040 -S315400496E00000000000000000000000000000000030 -S315400496F00000000000000000000000000000000020 -S31540049700000000000000000000000000000000000F -S3154004971000000000000000000000000000000000FF -S3154004972000000000000000000000000000000000EF -S3154004973000000000000000000000000000000000DF -S3154004974000000000000000000000000000000000CF -S3154004975000000000000000000000000000000000BF -S3154004976000000000000000000000000000000000AF -S31540049770000000000000000000000000000000009F -S31540049780000000000000000000000000000000008F -S31540049790000000000000000000000000000000007F -S315400497A0000000000000000000000000000000006F -S315400497B0000000000000000000000000000000005F -S315400497C0000000000000000000000000000000004F -S315400497D0000000000000000000000000000000003F -S315400497E0000000000000000000000000000000002F -S315400497F0000000000000000000000000000000001F -S31540049800000000000000000000000000000000000E -S3154004981000000000000000000000000000000000FE -S3154004982000000000000000000000000000000000EE -S3154004983000000000000000000000000000000000DE -S3154004984000000000000000000000000000000000CE -S3154004985000000000000000000000000000000000BE -S3154004986000000000000000000000000000000000AE -S31540049870000000000000000000000000000000009E -S31540049880000000000000000000000000000000008E -S31540049890000000000000000000000000000000007E -S315400498A0000000000000000000000000000000006E -S315400498B0000000000000000000000000000000005E -S315400498C0000000000000000000000000000000004E -S315400498D0000000000000000000000000000000003E -S315400498E0000000000000000000000000000000002E -S315400498F0000000000000000000000000000000001E -S31540049900000000000000000000000000000000000D -S3154004991000000000000000000000000000000000FD -S3154004992000000000000000000000000000000000ED -S3154004993000000000000000000000000000000000DD -S3154004994000000000000000000000000000000000CD -S3154004995000000000000000000000000000000000BD -S3154004996000000000000000000000000000000000AD -S31540049970000000000000000000000000000000009D -S31540049980000000000000000000000000000000008D -S31540049990000000000000000000000000000000007D -S315400499A0000000000000000000000000000000006D -S315400499B0000000000000000000000000000000005D -S315400499C0000000000000000000000000000000004D -S315400499D0000000000000000000000000000000003D -S315400499E0000000000000000000000000000000002D -S315400499F0000000000000000000000000000000001D -S31540049A00000000000000000000000000000000000C -S31540049A1000000000000000000000000000000000FC -S31540049A2000000000000000000000000000000000EC -S31540049A3000000000000000000000000000000000DC -S31540049A4000000000000000000000000000000000CC -S31540049A5000000000000000000000000000000000BC -S31540049A6000000000000000000000000000000000AC -S31540049A70000000000000000000000000000000009C -S31540049A80000000000000000000000000000000008C -S31540049A90000000000000000000000000000000007C -S31540049AA0000000000000000000000000000000006C -S31540049AB0000000000000000000000000000000005C -S31540049AC0000000000000000000000000000000004C -S31540049AD0000000000000000000000000000000003C -S31540049AE0000000000000000000000000000000002C -S31540049AF0000000000000000000000000000000001C -S31540049B00000000000000000000000000000000000B -S31540049B1000000000000000000000000000000000FB -S31540049B2000000000000000000000000000000000EB -S31540049B3000000000000000000000000000000000DB -S31540049B4000000000000000000000000000000000CB -S31540049B5000000000000000000000000000000000BB -S31540049B6000000000000000000000000000000000AB -S31540049B70000000000000000000000000000000009B -S31540049B80000000000000000000000000000000008B -S31540049B90000000000000000000000000000000007B -S31540049BA0000000000000000000000000000000006B -S31540049BB0000000000000000000000000000000005B -S31540049BC0000000000000000000000000000000004B -S31540049BD0000000000000000000000000000000003B -S31540049BE0000000000000000000000000000000002B -S31540049BF0000000000000000000000000000000001B -S31540049C00000000000000000000000000000000000A -S31540049C1000000000000000000000000000000000FA -S31540049C2000000000000000000000000000000000EA -S31540049C3000000000000000000000000000000000DA -S31540049C4000000000000000000000000000000000CA -S31540049C5000000000000000000000000000000000BA -S31540049C6000000000000000000000000000000000AA -S31540049C70000000000000000000000000000000009A -S31540049C80000000000000000000000000000000008A -S31540049C90000000000000000000000000000000007A -S31540049CA0000000000000000000000000000000006A -S31540049CB0000000000000000000000000000000005A -S31540049CC0000000000000000000000000000000004A -S31540049CD0000000000000000000000000000000003A -S31540049CE0000000000000000000000000000000002A -S31540049CF0000000000000000000000000000000001A -S31540049D000000000000000000000000000000000009 -S31540049D1000000000000000000000000000000000F9 -S31540049D2000000000000000000000000000000000E9 -S31540049D3000000000000000000000000000000000D9 -S31540049D4000000000000000000000000000000000C9 -S31540049D5000000000000000000000000000000000B9 -S31540049D6000000000000000000000000000000000A9 -S31540049D700000000000000000000000000000000099 -S31540049D800000000000000000000000000000000089 -S31540049D900000000000000000000000000000000079 -S31540049DA00000000000000000000000000000000069 -S31540049DB00000000000000000000000000000000059 -S31540049DC00000000000000000000000000000000049 -S31540049DD00000000000000000000000000000000039 -S31540049DE00000000000000000000000000000000029 -S31540049DF00000000000000000000000000000000019 -S31540049E000000000000000000000000000000000008 -S31540049E1000000000000000000000000000000000F8 -S31540049E2000000000000000000000000000000000E8 -S31540049E3000000000000000000000000000000000D8 -S31540049E4000000000000000000000000000000000C8 -S31540049E5000000000000000000000000000000000B8 -S31540049E6000000000000000000000000000000000A8 -S31540049E700000000000000000000000000000000098 -S31540049E800000000000000000000000000000000088 -S31540049E900000000000000000000000000000000078 -S31540049EA00000000000000000000000000000000068 -S31540049EB00000000000000000000000000000000058 -S31540049EC00000000000000000000000000000000048 -S31540049ED00000000000000000000000000000000038 -S31540049EE00000000000000000000000000000000028 -S31540049EF00000000000000000000000000000000018 -S31540049F000000000000000000000000000000000007 -S31540049F1000000000000000000000000000000000F7 -S31540049F2000000000000000000000000000000000E7 -S31540049F3000000000000000000000000000000000D7 -S31540049F4000000000000000000000000000000000C7 -S31540049F5000000000000000000000000000000000B7 -S31540049F6000000000000000000000000000000000A7 -S31540049F700000000000000000000000000000000097 -S31540049F800000000000000000000000000000000087 -S31540049F900000000000000000000000000000000077 -S31540049FA00000000000000000000000000000000067 -S31540049FB00000000000000000000000000000000057 -S31540049FC00000000000000000000000000000000047 -S31540049FD00000000000000000000000000000000037 -S31540049FE00000000000000000000000000000000027 -S31540049FF00000000000000000000000000000000017 -S3154004A0000000000000000000000000000000000006 -S3154004A01000000000000000000000000000000000F6 -S3154004A02000000000000000000000000000000000E6 -S3154004A03000000000000000000000000000000000D6 -S3154004A04000000000000000000000000000000000C6 -S3154004A05000000000000000000000000000000000B6 -S3154004A06000000000000000000000000000000000A6 -S3154004A0700000000000000000000000000000000096 -S3154004A0800000000000000000000000000000000086 -S3154004A0900000000000000000000000000000000076 -S3154004A0A00000000000000000000000000000000066 -S3154004A0B00000000000000000000000000000000056 -S3154004A0C00000000000000000000000000000000046 -S3154004A0D00000000000000000000000000000000036 -S3154004A0E00000000000000000000000000000000026 -S3154004A0F00000000000000000000000000000000016 -S3154004A1000000000000000000000000000000000005 -S3154004A11000000000000000000000000000000000F5 -S3154004A12000000000000000000000000000000000E5 -S3154004A13000000000000000000000000000000000D5 -S3154004A14000000000000000000000000000000000C5 -S3154004A15000000000000000000000000000000000B5 -S3154004A16000000000000000000000000000000000A5 -S3154004A1700000000000000000000000000000000095 -S3154004A1800000000000000000000000000000000085 -S3154004A1900000000000000000000000000000000075 -S3154004A1A00000000000000000000000000000000065 -S3154004A1B00000000000000000000000000000000055 -S3154004A1C00000000000000000000000000000000045 -S3154004A1D00000000000000000000000000000000035 -S3154004A1E00000000000000000000000000000000025 -S3154004A1F00000000000000000000000000000000015 -S3154004A2000000000000000000000000000000000004 -S3154004A21000000000000000000000000000000000F4 -S3154004A22000000000000000000000000000000000E4 -S3154004A23000000000000000000000000000000000D4 -S3154004A24000000000000000000000000000000000C4 -S3154004A25000000000000000000000000000000000B4 -S3154004A26000000000000000000000000000000000A4 -S3154004A2700000000000000000000000000000000094 -S3154004A2800000000000000000000000000000000084 -S3154004A2900000000000000000000000000000000074 -S3154004A2A00000000000000000000000000000000064 -S3154004A2B00000000000000000000000000000000054 -S3154004A2C00000000000000000000000000000000044 -S3154004A2D00000000000000000000000000000000034 -S3154004A2E00000000000000000000000000000000024 -S3154004A2F00000000000000000000000000000000014 -S3154004A3000000000000000000000000000000000003 -S3154004A31000000000000000000000000000000000F3 -S3154004A32000000000000000000000000000000000E3 -S3154004A33000000000000000000000000000000000D3 -S3154004A34000000000000000000000000000000000C3 -S3154004A35000000000000000000000000000000000B3 -S3154004A36000000000000000000000000000000000A3 -S3154004A3700000000000000000000000000000000093 -S3154004A3800000000000000000000000000000000083 -S3154004A3900000000000000000000000000000000073 -S3154004A3A00000000000000000000000000000000063 -S3154004A3B00000000000000000000000000000000053 -S3154004A3C00000000000000000000000000000000043 -S3154004A3D00000000000000000000000000000000033 -S3154004A3E00000000000000000000000000000000023 -S3154004A3F00000000000000000000000000000000013 -S3154004A4000000000000000000000000000000000002 -S3154004A41000000000000000000000000000000000F2 -S3154004A42000000000000000000000000000000000E2 -S3154004A43000000000000000000000000000000000D2 -S3154004A44000000000000000000000000000000000C2 -S3154004A45000000000000000000000000000000000B2 -S3154004A46000000000000000000000000000000000A2 -S3154004A4700000000000000000000000000000000092 -S3154004A4800000000000000000000000000000000082 -S3154004A4900000000000000000000000000000000072 -S3154004A4A00000000000000000000000000000000062 -S3154004A4B00000000000000000000000000000000052 -S3154004A4C00000000000000000000000000000000042 -S3154004A4D00000000000000000000000000000000032 -S3154004A4E00000000000000000000000000000000022 -S3154004A4F00000000000000000000000000000000012 -S3154004A5000000000000000000000000000000000001 -S3154004A51000000000000000000000000000000000F1 -S3154004A52000000000000000000000000000000000E1 -S3154004A53000000000000000000000000000000000D1 -S3154004A54000000000000000000000000000000000C1 -S3154004A55000000000000000000000000000000000B1 -S3154004A56000000000000000000000000000000000A1 -S3154004A5700000000000000000000000000000000091 -S3154004A5800000000000000000000000000000000081 -S3154004A5900000000000000000000000000000000071 -S3154004A5A00000000000000000000000000000000061 -S3154004A5B00000000000000000000000000000000051 -S3154004A5C00000000000000000000000000000000041 -S3154004A5D00000000000000000000000000000000031 -S3154004A5E00000000000000000000000000000000021 -S3154004A5F00000000000000000000000000000000011 -S3154004A6000000000000000000000000000000000000 -S3154004A61000000000000000000000000000000000F0 -S3154004A62000000000000000000000000000000000E0 -S3154004A63000000000000000000000000000000000D0 -S3154004A64000000000000000000000000000000000C0 -S3154004A65000000000000000000000000000000000B0 -S3154004A66000000000000000000000000000000000A0 -S3154004A6700000000000000000000000000000000090 -S3154004A6800000000000000000000000000000000080 -S3154004A6900000000000000000000000000000000070 -S3154004A6A00000000000000000000000000000000060 -S3154004A6B00000000000000000000000000000000050 -S3154004A6C00000000000000000000000000000000040 -S3154004A6D00000000000000000000000000000000030 -S3154004A6E00000000000000000000000000000000020 -S3154004A6F00000000000000000000000000000000010 -S3154004A70000000000000000000000000000000000FF -S3154004A71000000000000000000000000000000000EF -S3154004A72000000000000000000000000000000000DF -S3154004A73000000000000000000000000000000000CF -S3154004A74000000000000000000000000000000000BF -S3154004A75000000000000000000000000000000000AF -S3154004A760000000000000000000000000000000009F -S3154004A770000000000000000000000000000000008F -S3154004A780000000000000000000000000000000007F -S3154004A790000000000000000000000000000000006F -S3154004A7A0000000000000000000000000000000005F -S3154004A7B0000000000000000000000000000000004F -S3154004A7C0000000000000000000000000000000003F -S3154004A7D0000000000000000000000000000000002F -S3154004A7E0000000000000000000000000000000001F -S3154004A7F0000000000000000000000000000000000F -S3154004A80000000000000000000000000000000000FE -S3154004A81000000000000000000000000000000000EE -S3154004A82000000000000000000000000000000000DE -S3154004A83000000000000000000000000000000000CE -S3154004A84000000000000000000000000000000000BE -S3154004A85000000000000000000000000000000000AE -S3154004A860000000000000000000000000000000009E -S3154004A870000000000000000000000000000000008E -S3154004A880000000000000000000000000000000007E -S3154004A890000000000000000000000000000000006E -S3154004A8A0000000000000000000000000000000005E -S3154004A8B0000000000000000000000000000000004E -S3154004A8C0000000000000000000000000000000003E -S3154004A8D0000000000000000000000000000000002E -S3154004A8E0000000000000000000000000000000001E -S3154004A8F0000000000000000000000000000000000E -S3154004A90000000000000000000000000000000000FD -S3154004A91000000000000000000000000000000000ED -S3154004A92000000000000000000000000000000000DD -S3154004A93000000000000000000000000000000000CD -S3154004A94000000000000000000000000000000000BD -S3154004A95000000000000000000000000000000000AD -S3154004A960000000000000000000000000000000009D -S3154004A970000000000000000000000000000000008D -S3154004A980000000000000000000000000000000007D -S3154004A990000000000000000000000000000000006D -S3154004A9A0000000000000000000000000000000005D -S3154004A9B0000000000000000000000000000000004D -S3154004A9C0000000000000000000000000000000003D -S3154004A9D0000000000000000000000000000000002D -S3154004A9E0000000000000000000000000000000001D -S3154004A9F0000000000000000000000000000000000D -S3154004AA0000000000000000000000000000000000FC -S3154004AA1000000000000000000000000000000000EC -S3154004AA2000000000000000000000000000000000DC -S3154004AA3000000000000000000000000000000000CC -S3154004AA4000000000000000000000000000000000BC -S3154004AA5000000000000000000000000000000000AC -S3154004AA60000000000000000000000000000000009C -S3154004AA70000000000000000000000000000000008C -S3154004AA80000000000000000000000000000000007C -S3154004AA90000000000000000000000000000000006C -S3154004AAA0000000000000000000000000000000005C -S3154004AAB0000000000000000000000000000000004C -S3154004AAC0000000000000000000000000000000003C -S3154004AAD0000000000000000000000000000000002C -S3154004AAE0000000000000000000000000000000001C -S3154004AAF0000000000000000000000000000000000C -S3154004AB0000000000000000000000000000000000FB -S3154004AB1000000000000000000000000000000000EB -S3154004AB2000000000000000000000000000000000DB -S3154004AB3000000000000000000000000000000000CB -S3154004AB4000000000000000000000000000000000BB -S3154004AB5000000000000000000000000000000000AB -S3154004AB60000000000000000000000000000000009B -S3154004AB70000000000000000000000000000000008B -S3154004AB80000000000000000000000000000000007B -S3154004AB90000000000000000000000000000000006B -S3154004ABA0000000000000000000000000000000005B -S3154004ABB0000000000000000000000000000000004B -S3154004ABC0000000000000000000000000000000003B -S3154004ABD0000000000000000000000000000000002B -S3154004ABE0000000000000000000000000000000001B -S3154004ABF0000000000000000000000000000000000B -S3154004AC0000000000000000000000000000000000FA -S3154004AC1000000000000000000000000000000000EA -S3154004AC2000000000000000000000000000000000DA -S3154004AC3000000000000000000000000000000000CA -S3154004AC4000000000000000000000000000000000BA -S3154004AC5000000000000000000000000000000000AA -S3154004AC60000000000000000000000000000000009A -S3154004AC70000000000000000000000000000000008A -S3154004AC80000000000000000000000000000000007A -S3154004AC90000000000000000000000000000000006A -S3154004ACA0000000000000000000000000000000005A -S3154004ACB0000000000000000000000000000000004A -S3154004ACC0000000000000000000000000000000003A -S3154004ACD0000000000000000000000000000000002A -S3154004ACE0000000000000000000000000000000001A -S3154004ACF0000000000000000000000000000000000A -S3154004AD0000000000000000000000000000000000F9 -S3154004AD1000000000000000000000000000000000E9 -S3154004AD2000000000000000000000000000000000D9 -S3154004AD3000000000000000000000000000000000C9 -S3154004AD4000000000000000000000000000000000B9 -S3154004AD5000000000000000000000000000000000A9 -S3154004AD600000000000000000000000000000000099 -S3154004AD700000000000000000000000000000000089 -S3154004AD800000000000000000000000000000000079 -S3154004AD900000000000000000000000000000000069 -S3154004ADA00000000000000000000000000000000059 -S3154004ADB00000000000000000000000000000000049 -S3154004ADC00000000000000000000000000000000039 -S3154004ADD00000000000000000000000000000000029 -S3154004ADE00000000000000000000000000000000019 -S3154004ADF00000000000000000000000000000000009 -S3154004AE0000000000000000000000000000000000F8 -S3154004AE1000000000000000000000000000000000E8 -S3154004AE2000000000000000000000000000000000D8 -S3154004AE3000000000000000000000000000000000C8 -S3154004AE4000000000000000000000000000000000B8 -S3154004AE5000000000000000000000000000000000A8 -S3154004AE600000000000000000000000000000000098 -S3154004AE700000000000000000000000000000000088 -S3154004AE800000000000000000000000000000000078 -S3154004AE900000000000000000000000000000000068 -S3154004AEA00000000000000000000000000000000058 -S3154004AEB00000000000000000000000000000000048 -S3154004AEC00000000000000000000000000000000038 -S3154004AED00000000000000000000000000000000028 -S3154004AEE00000000000000000000000000000000018 -S3154004AEF00000000000000000000000000000000008 -S3154004AF0000000000000000000000000000000000F7 -S3154004AF1000000000000000000000000000000000E7 -S3154004AF2000000000000000000000000000000000D7 -S3154004AF3000000000000000000000000000000000C7 -S3154004AF4000000000000000000000000000000000B7 -S3154004AF5000000000000000000000000000000000A7 -S3154004AF600000000000000000000000000000000097 -S3154004AF700000000000000000000000000000000087 -S3154004AF800000000000000000000000000000000077 -S3154004AF900000000000000000000000000000000067 -S3154004AFA00000000000000000000000000000000057 -S3154004AFB00000000000000000000000000000000047 -S3154004AFC00000000000000000000000000000000037 -S3154004AFD00000000000000000000000000000000027 -S3154004AFE00000000000000000000000000000000017 -S3154004AFF00000000000000000000000000000000007 -S3154004B00000000000000000000000000000000000F6 -S3154004B01000000000000000000000000000000000E6 -S3154004B02000000000000000000000000000000000D6 -S3154004B03000000000000000000000000000000000C6 -S3154004B04000000000000000000000000000000000B6 -S3154004B05000000000000000000000000000000000A6 -S3154004B0600000000000000000000000000000000096 -S3154004B0700000000000000000000000000000000086 -S3154004B0800000000000000000000000000000000076 -S3154004B0900000000000000000000000000000000066 -S3154004B0A00000000000000000000000000000000056 -S3154004B0B00000000000000000000000000000000046 -S3154004B0C00000000000000000000000000000000036 -S3154004B0D00000000000000000000000000000000026 -S3154004B0E00000000000000000000000000000000016 -S3154004B0F00000000000000000000000000000000006 -S3154004B10000000000000000000000000000000000F5 -S3154004B11000000000000000000000000000000000E5 -S3154004B12000000000000000000000000000000000D5 -S3154004B13000000000000000000000000000000000C5 -S3154004B14000000000000000000000000000000000B5 -S3154004B15000000000000000000000000000000000A5 -S3154004B1600000000000000000000000000000000095 -S3154004B1700000000000000000000000000000000085 -S3154004B1800000000000000000000000000000000075 -S3154004B1900000000000000000000000000000000065 -S3154004B1A00000000000000000000000000000000055 -S3154004B1B00000000000000000000000000000000045 -S3154004B1C00000000000000000000000000000000035 -S3154004B1D00000000000000000000000000000000025 -S3154004B1E00000000000000000000000000000000015 -S3154004B1F00000000000000000000000000000000005 -S3154004B20000000000000000000000000000000000F4 -S3154004B21000000000000000000000000000000000E4 -S3154004B22000000000000000000000000000000000D4 -S3154004B23000000000000000000000000000000000C4 -S3154004B24000000000000000000000000000000000B4 -S3154004B25000000000000000000000000000000000A4 -S3154004B2600000000000000000000000000000000094 -S3154004B2700000000000000000000000000000000084 -S3154004B2800000000000000000000000000000000074 -S3154004B2900000000000000000000000000000000064 -S3154004B2A00000000000000000000000000000000054 -S3154004B2B00000000000000000000000000000000044 -S3154004B2C00000000000000000000000000000000034 -S3154004B2D00000000000000000000000000000000024 -S3154004B2E00000000000000000000000000000000014 -S3154004B2F00000000000000000000000000000000004 -S3154004B30000000000000000000000000000000000F3 -S3154004B31000000000000000000000000000000000E3 -S3154004B32000000000000000000000000000000000D3 -S3154004B33000000000000000000000000000000000C3 -S3154004B34000000000000000000000000000000000B3 -S3154004B35000000000000000000000000000000000A3 -S3154004B3600000000000000000000000000000000093 -S3154004B3700000000000000000000000000000000083 -S3154004B3800000000000000000000000000000000073 -S3154004B3900000000000000000000000000000000063 -S3154004B3A00000000000000000000000000000000053 -S3154004B3B00000000000000000000000000000000043 -S3154004B3C00000000000000000000000000000000033 -S3154004B3D00000000000000000000000000000000023 -S3154004B3E00000000000000000000000000000000013 -S3154004B3F00000000000000000000000000000000003 -S3154004B40000000000000000000000000000000000F2 -S3154004B41000000000000000000000000000000000E2 -S3154004B42000000000000000000000000000000000D2 -S3154004B43000000000000000000000000000000000C2 -S3154004B44000000000000000000000000000000000B2 -S3154004B45000000000000000000000000000000000A2 -S3154004B4600000000000000000000000000000000092 -S3154004B4700000000000000000000000000000000082 -S3154004B4800000000000000000000000000000000072 -S3154004B4900000000000000000000000000000000062 -S3154004B4A00000000000000000000000000000000052 -S3154004B4B00000000000000000000000000000000042 -S3154004B4C00000000000000000000000000000000032 -S3154004B4D00000000000000000000000000000000022 -S3154004B4E00000000000000000000000000000000012 -S3154004B4F00000000000000000000000000000000002 -S3154004B50000000000000000000000000000000000F1 -S3154004B51000000000000000000000000000000000E1 -S3154004B52000000000000000000000000000000000D1 -S3154004B53000000000000000000000000000000000C1 -S3154004B54000000000000000000000000000000000B1 -S3154004B55000000000000000000000000000000000A1 -S3154004B5600000000000000000000000000000000091 -S3154004B5700000000000000000000000000000000081 -S3154004B5800000000000000000000000000000000071 -S3154004B5900000000000000000000000000000000061 -S3154004B5A00000000000000000000000000000000051 -S3154004B5B00000000000000000000000000000000041 -S3154004B5C00000000000000000000000000000000031 -S3154004B5D00000000000000000000000000000000021 -S3154004B5E00000000000000000000000000000000011 -S3154004B5F00000000000000000000000000000000001 -S3154004B60000000000000000000000000000000000F0 -S3154004B61000000000000000000000000000000000E0 -S3154004B62000000000000000000000000000000000D0 -S3154004B63000000000000000000000000000000000C0 -S3154004B64000000000000000000000000000000000B0 -S3154004B65000000000000000000000000000000000A0 -S3154004B6600000000000000000000000000000000090 -S3154004B6700000000000000000000000000000000080 -S3154004B6800000000000000000000000000000000070 -S3154004B6900000000000000000000000000000000060 -S3154004B6A00000000000000000000000000000000050 -S3154004B6B00000000000000000000000000000000040 -S3154004B6C00000000000000000000000000000000030 -S3154004B6D00000000000000000000000000000000020 -S3154004B6E00000000000000000000000000000000010 -S3154004B6F00000000000000000000000000000000000 -S3154004B70000000000000000000000000000000000EF -S3154004B71000000000000000000000000000000000DF -S3154004B72000000000000000000000000000000000CF -S3154004B73000000000000000000000000000000000BF -S3154004B74000000000000000000000000000000000AF -S3154004B750000000000000000000000000000000009F -S3154004B760000000000000000000000000000000008F -S3154004B770000000000000000000000000000000007F -S3154004B780000000000000000000000000000000006F -S3154004B790000000000000000000000000000000005F -S3154004B7A0000000000000000000000000000000004F -S3154004B7B0000000000000000000000000000000003F -S3154004B7C0000000000000000000000000000000002F -S3154004B7D0000000000000000000000000000000001F -S3154004B7E0000000000000000000000000000000000F -S3154004B7F000000000000000000000000000000000FF -S3154004B80000000000000000000000000000000000EE -S3154004B81000000000000000000000000000000000DE -S3154004B82000000000000000000000000000000000CE -S3154004B83000000000000000000000000000000000BE -S3154004B84000000000000000000000000000000000AE -S3154004B850000000000000000000000000000000009E -S3154004B860000000000000000000000000000000008E -S3154004B870000000000000000000000000000000007E -S3154004B880000000000000000000000000000000006E -S3154004B890000000000000000000000000000000005E -S3154004B8A0000000000000000000000000000000004E -S3154004B8B0000000000000000000000000000000003E -S3154004B8C0000000000000000000000000000000002E -S3154004B8D0000000000000000000000000000000001E -S3154004B8E0000000000000000000000000000000000E -S3154004B8F000000000000000000000000000000000FE -S3154004B90000000000000000000000000000000000ED -S3154004B91000000000000000000000000000000000DD -S3154004B92000000000000000000000000000000000CD -S3154004B93000000000000000000000000000000000BD -S3154004B94000000000000000000000000000000000AD -S3154004B950000000000000000000000000000000009D -S3154004B960000000000000000000000000000000008D -S3154004B970000000000000000000000000000000007D -S3154004B980000000000000000000000000000000006D -S3154004B990000000000000000000000000000000005D -S3154004B9A0000000000000000000000000000000004D -S3154004B9B0000000000000000000000000000000003D -S3154004B9C0000000000000000000000000000000002D -S3154004B9D0000000000000000000000000000000001D -S3154004B9E0000000000000000000000000000000000D -S3154004B9F000000000000000000000000000000000FD -S3154004BA0000000000000000000000000000000000EC -S3154004BA1000000000000000000000000000000000DC -S3154004BA2000000000000000000000000000000000CC -S3154004BA3000000000000000000000000000000000BC -S3154004BA4000000000000000000000000000000000AC -S3154004BA50000000000000000000000000000000009C -S3154004BA60000000000000000000000000000000008C -S3154004BA70000000000000000000000000000000007C -S3154004BA80000000000000000000000000000000006C -S3154004BA90000000000000000000000000000000005C -S3154004BAA0000000000000000000000000000000004C -S3154004BAB0000000000000000000000000000000003C -S3154004BAC0000000000000000000000000000000002C -S3154004BAD0000000000000000000000000000000001C -S3154004BAE0000000000000000000000000000000000C -S3154004BAF000000000000000000000000000000000FC -S3154004BB0000000000000000000000000000000000EB -S3154004BB1000000000000000000000000000000000DB -S3154004BB2000000000000000000000000000000000CB -S3154004BB3000000000000000000000000000000000BB -S3154004BB4000000000000000000000000000000000AB -S3154004BB50000000000000000000000000000000009B -S3154004BB60000000000000000000000000000000008B -S3154004BB70000000000000000000000000000000007B -S3154004BB80000000000000000000000000000000006B -S3154004BB90000000000000000000000000000000005B -S3154004BBA0000000000000000000000000000000004B -S3154004BBB0000000000000000000000000000000003B -S3154004BBC0000000000000000000000000000000002B -S3154004BBD0000000000000000000000000000000001B -S3154004BBE0000000000000000000000000000000000B -S3154004BBF000000000000000000000000000000000FB -S3154004BC0000000000000000000000000000000000EA -S3154004BC1000000000000000000000000000000000DA -S3154004BC2000000000000000000000000000000000CA -S3154004BC3000000000000000000000000000000000BA -S3154004BC4000000000000000000000000000000000AA -S3154004BC50000000000000000000000000000000009A -S3154004BC60000000000000000000000000000000008A -S3154004BC70000000000000000000000000000000007A -S3154004BC80000000000000000000000000000000006A -S3154004BC90000000000000000000000000000000005A -S3154004BCA0000000000000000000000000000000004A -S3154004BCB0000000000000000000000000000000003A -S3154004BCC0000000000000000000000000000000002A -S3154004BCD0000000000000000000000000000000001A -S3154004BCE0000000000000000000000000000000000A -S3154004BCF000000000000000000000000000000000FA -S3154004BD0000000000000000000000000000000000E9 -S3154004BD1000000000000000000000000000000000D9 -S3154004BD2000000000000000000000000000000000C9 -S3154004BD3000000000000000000000000000000000B9 -S3154004BD4000000000000000000000000000000000A9 -S3154004BD500000000000000000000000000000000099 -S3154004BD600000000000000000000000000000000089 -S3154004BD700000000000000000000000000000000079 -S3154004BD800000000000000000000000000000000069 -S3154004BD900000000000000000000000000000000059 -S3154004BDA00000000000000000000000000000000049 -S3154004BDB00000000000000000000000000000000039 -S3154004BDC00000000000000000000000000000000029 -S3154004BDD00000000000000000000000000000000019 -S3154004BDE00000000000000000000000000000000009 -S3154004BDF000000000000000000000000000000000F9 -S3154004BE0000000000000000000000000000000000E8 -S3154004BE1000000000000000000000000000000000D8 -S3154004BE2000000000000000000000000000000000C8 -S3154004BE3000000000000000000000000000000000B8 -S3154004BE4000000000000000000000000000000000A8 -S3154004BE500000000000000000000000000000000098 -S3154004BE600000000000000000000000000000000088 -S3154004BE700000000000000000000000000000000078 -S3154004BE800000000000000000000000000000000068 -S3154004BE900000000000000000000000000000000058 -S3154004BEA00000000000000000000000000000000048 -S3154004BEB00000000000000000000000000000000038 -S3154004BEC00000000000000000000000000000000028 -S3154004BED00000000000000000000000000000000018 -S3154004BEE00000000000000000000000000000000008 -S3154004BEF000000000000000000000000000000000F8 -S3154004BF0000000000000000000000000000000000E7 -S3154004BF1000000000000000000000000000000000D7 -S3154004BF2000000000000000000000000000000000C7 -S3154004BF3000000000000000000000000000000000B7 -S3154004BF4000000000000000000000000000000000A7 -S3154004BF500000000000000000000000000000000097 -S3154004BF600000000000000000000000000000000087 -S3154004BF700000000000000000000000000000000077 -S3154004BF800000000000000000000000000000000067 -S3154004BF900000000000000000000000000000000057 -S3154004BFA00000000000000000000000000000000047 -S3154004BFB00000000000000000000000000000000037 -S3154004BFC00000000000000000000000000000000027 -S3154004BFD00000000000000000000000000000000017 -S3154004BFE00000000000000000000000000000000007 -S3154004BFF000000000000000000000000000000000F7 -S3154004C00000000000000000000000000000000000E6 -S3154004C01000000000000000000000000000000000D6 -S3154004C02000000000000000000000000000000000C6 -S3154004C03000000000000000000000000000000000B6 -S3154004C04000000000000000000000000000000000A6 -S3154004C0500000000000000000000000000000000096 -S3154004C0600000000000000000000000000000000086 -S3154004C0700000000000000000000000000000000076 -S3154004C0800000000000000000000000000000000066 -S3154004C0900000000000000000000000000000000056 -S3154004C0A00000000000000000000000000000000046 -S3154004C0B00000000000000000000000000000000036 -S3154004C0C00000000000000000000000000000000026 -S3154004C0D00000000000000000000000000000000016 -S3154004C0E00000000000000000000000000000000006 -S3154004C0F000000000000000000000000000000000F6 -S3154004C10000000000000000000000000000000000E5 -S3154004C11000000000000000000000000000000000D5 -S3154004C12000000000000000000000000000000000C5 -S3154004C13000000000000000000000000000000000B5 -S3154004C14000000000000000000000000000000000A5 -S3154004C1500000000000000000000000000000000095 -S3154004C1600000000000000000000000000000000085 -S3154004C1700000000000000000000000000000000075 -S3154004C1800000000000000000000000000000000065 -S3154004C1900000000000000000000000000000000055 -S3154004C1A00000000000000000000000000000000045 -S3154004C1B00000000000000000000000000000000035 -S3154004C1C00000000000000000000000000000000025 -S3154004C1D00000000000000000000000000000000015 -S3154004C1E00000000000000000000000000000000005 -S3154004C1F000000000000000000000000000000000F5 -S3154004C20000000000000000000000000000000000E4 -S3154004C21000000000000000000000000000000000D4 -S3154004C22000000000000000000000000000000000C4 -S3154004C23000000000000000000000000000000000B4 -S3154004C24000000000000000000000000000000000A4 -S3154004C2500000000000000000000000000000000094 -S3154004C2600000000000000000000000000000000084 -S3154004C2700000000000000000000000000000000074 -S3154004C2800000000000000000000000000000000064 -S3154004C2900000000000000000000000000000000054 -S3154004C2A00000000000000000000000000000000044 -S3154004C2B00000000000000000000000000000000034 -S3154004C2C00000000000000000000000000000000024 -S3154004C2D00000000000000000000000000000000014 -S3154004C2E00000000000000000000000000000000004 -S3154004C2F000000000000000000000000000000000F4 -S3154004C30000000000000000000000000000000000E3 -S3154004C31000000000000000000000000000000000D3 -S3154004C32000000000000000000000000000000000C3 -S3154004C33000000000000000000000000000000000B3 -S3154004C34000000000000000000000000000000000A3 -S3154004C3500000000000000000000000000000000093 -S3154004C3600000000000000000000000000000000083 -S3154004C3700000000000000000000000000000000073 -S3154004C3800000000000000000000000000000000063 -S3154004C3900000000000000000000000000000000053 -S3154004C3A00000000000000000000000000000000043 -S3154004C3B00000000000000000000000000000000033 -S3154004C3C00000000000000000000000000000000023 -S3154004C3D00000000000000000000000000000000013 -S3154004C3E00000000000000000000000000000000003 -S3154004C3F000000000000000000000000000000000F3 -S3154004C40000000000000000000000000000000000E2 -S3154004C41000000000000000000000000000000000D2 -S3154004C42000000000000000000000000000000000C2 -S3154004C43000000000000000000000000000000000B2 -S3154004C44000000000000000000000000000000000A2 -S3154004C4500000000000000000000000000000000092 -S3154004C4600000000000000000000000000000000082 -S3154004C4700000000000000000000000000000000072 -S3154004C4800000000000000000000000000000000062 -S3154004C4900000000000000000000000000000000052 -S3154004C4A00000000000000000000000000000000042 -S3154004C4B00000000000000000000000000000000032 -S3154004C4C00000000000000000000000000000000022 -S3154004C4D00000000000000000000000000000000012 -S3154004C4E00000000000000000000000000000000002 -S3154004C4F000000000000000000000000000000000F2 -S3154004C50000000000000000000000000000000000E1 -S3154004C51000000000000000000000000000000000D1 -S3154004C52000000000000000000000000000000000C1 -S3154004C53000000000000000000000000000000000B1 -S3154004C54000000000000000000000000000000000A1 -S3154004C5500000000000000000000000000000000091 -S3154004C5600000000000000000000000000000000081 -S3154004C5700000000000000000000000000000000071 -S3154004C5800000000000000000000000000000000061 -S3154004C5900000000000000000000000000000000051 -S3154004C5A00000000000000000000000000000000041 -S3154004C5B00000000000000000000000000000000031 -S3154004C5C00000000000000000000000000000000021 -S3154004C5D00000000000000000000000000000000011 -S3154004C5E00000000000000000000000000000000001 -S3154004C5F000000000000000000000000000000000F1 -S3154004C60000000000000000000000000000000000E0 -S3154004C61000000000000000000000000000000000D0 -S3154004C62000000000000000000000000000000000C0 -S3154004C63000000000000000000000000000000000B0 -S3154004C64000000000000000000000000000000000A0 -S3154004C6500000000000000000000000000000000090 -S3154004C6600000000000000000000000000000000080 -S3154004C6700000000000000000000000000000000070 -S3154004C6800000000000000000000000000000000060 -S3154004C6900000000000000000000000000000000050 -S3154004C6A00000000000000000000000000000000040 -S3154004C6B00000000000000000000000000000000030 -S3154004C6C00000000000000000000000000000000020 -S3154004C6D00000000000000000000000000000000010 -S3154004C6E00000000000000000000000000000000000 -S3154004C6F000000000000000000000000000000000F0 -S3154004C70000000000000000000000000000000000DF -S3154004C71000000000000000000000000000000000CF -S3154004C72000000000000000000000000000000000BF -S3154004C73000000000000000000000000000000000AF -S3154004C740000000000000000000000000000000009F -S3154004C750000000000000000000000000000000008F -S3154004C760000000000000000000000000000000007F -S3154004C770000000000000000000000000000000006F -S3154004C780000000000000000000000000000000005F -S3154004C790000000000000000000000000000000004F -S3154004C7A0000000000000000000000000000000003F -S3154004C7B0000000000000000000000000000000002F -S3154004C7C0000000000000000000000000000000001F -S3154004C7D0000000000000000000000000000000000F -S3154004C7E000000000000000000000000000000000FF -S3154004C7F000000000000000000000000000000000EF -S3154004C80000000000000000000000000000000000DE -S3154004C81000000000000000000000000000000000CE -S3154004C82000000000000000000000000000000000BE -S3154004C83000000000000000000000000000000000AE -S3154004C840000000000000000000000000000000009E -S3154004C850000000000000000000000000000000008E -S3154004C860000000000000000000000000000000007E -S3154004C870000000000000000000000000000000006E -S3154004C880000000000000000000000000000000005E -S3154004C890000000000000000000000000000000004E -S3154004C8A0000000000000000000000000000000003E -S3154004C8B0000000000000000000000000000000002E -S3154004C8C0000000000000000000000000000000001E -S3154004C8D0000000000000000000000000000000000E -S3154004C8E000000000000000000000000000000000FE -S3154004C8F000000000000000000000000000000000EE -S3154004C90000000000000000000000000000000000DD -S3154004C91000000000000000000000000000000000CD -S3154004C92000000000000000000000000000000000BD -S3154004C93000000000000000000000000000000000AD -S3154004C940000000000000000000000000000000009D -S3154004C950000000000000000000000000000000008D -S3154004C960000000000000000000000000000000007D -S3154004C970000000000000000000000000000000006D -S3154004C980000000000000000000000000000000005D -S3154004C990000000000000000000000000000000004D -S3154004C9A0000000000000000000000000000000003D -S3154004C9B0000000000000000000000000000000002D -S3154004C9C0000000000000000000000000000000001D -S3154004C9D0000000000000000000000000000000000D -S3154004C9E000000000000000000000000000000000FD -S3154004C9F000000000000000000000000000000000ED -S3154004CA0000000000000000000000000000000000DC -S3154004CA1000000000000000000000000000000000CC -S3154004CA2000000000000000000000000000000000BC -S3154004CA3000000000000000000000000000000000AC -S3154004CA40000000000000000000000000000000009C -S3154004CA50000000000000000000000000000000008C -S3154004CA60000000000000000000000000000000007C -S3154004CA70000000000000000000000000000000006C -S3154004CA80000000000000000000000000000000005C -S3154004CA90000000000000000000000000000000004C -S3154004CAA0000000000000000000000000000000003C -S3154004CAB0000000000000000000000000000000002C -S3154004CAC0000000000000000000000000000000001C -S3154004CAD0000000000000000000000000000000000C -S3154004CAE000000000000000000000000000000000FC -S3154004CAF000000000000000000000000000000000EC -S3154004CB0000000000000000000000000000000000DB -S3154004CB1000000000000000000000000000000000CB -S3154004CB2000000000000000000000000000000000BB -S3154004CB3000000000000000000000000000000000AB -S3154004CB40000000000000000000000000000000009B -S3154004CB50000000000000000000000000000000008B -S3154004CB60000000000000000000000000000000007B -S3154004CB70000000000000000000000000000000006B -S3154004CB80000000000000000000000000000000005B -S3154004CB90000000000000000000000000000000004B -S3154004CBA0000000000000000000000000000000003B -S3154004CBB0000000000000000000000000000000002B -S3154004CBC0000000000000000000000000000000001B -S3154004CBD0000000000000000000000000000000000B -S3154004CBE000000000000000000000000000000000FB -S3154004CBF000000000000000000000000000000000EB -S3154004CC0000000000000000000000000000000000DA -S3154004CC1000000000000000000000000000000000CA -S3154004CC2000000000000000000000000000000000BA -S3154004CC3000000000000000000000000000000000AA -S3154004CC40000000000000000000000000000000009A -S3154004CC50000000000000000000000000000000008A -S3154004CC60000000000000000000000000000000007A -S3154004CC70000000000000000000000000000000006A -S3154004CC80000000000000000000000000000000005A -S3154004CC90000000000000000000000000000000004A -S3154004CCA0000000000000000000000000000000003A -S3154004CCB0000000000000000000000000000000002A -S3154004CCC0000000000000000000000000000000001A -S3154004CCD0000000000000000000000000000000000A -S3154004CCE000000000000000000000000000000000FA -S3154004CCF000000000000000000000000000000000EA -S3154004CD0000000000000000000000000000000000D9 -S3154004CD1000000000000000000000000000000000C9 -S3154004CD2000000000000000000000000000000000B9 -S3154004CD3000000000000000000000000000000000A9 -S3154004CD400000000000000000000000000000000099 -S3154004CD500000000000000000000000000000000089 -S3154004CD600000000000000000000000000000000079 -S3154004CD700000000000000000000000000000000069 -S3154004CD800000000000000000000000000000000059 -S3154004CD900000000000000000000000000000000049 -S3154004CDA00000000000000000000000000000000039 -S3154004CDB00000000000000000000000000000000029 -S3154004CDC00000000000000000000000000000000019 -S3154004CDD00000000000000000000000000000000009 -S3154004CDE000000000000000000000000000000000F9 -S3154004CDF000000000000000000000000000000000E9 -S3154004CE0000000000000000000000000000000000D8 -S3154004CE1000000000000000000000000000000000C8 -S3154004CE2000000000000000000000000000000000B8 -S3154004CE3000000000000000000000000000000000A8 -S3154004CE400000000000000000000000000000000098 -S3154004CE500000000000000000000000000000000088 -S3154004CE600000000000000000000000000000000078 -S3154004CE700000000000000000000000000000000068 -S3154004CE800000000000000000000000000000000058 -S3154004CE900000000000000000000000000000000048 -S3154004CEA00000000000000000000000000000000038 -S3154004CEB00000000000000000000000000000000028 -S3154004CEC00000000000000000000000000000000018 -S3154004CED00000000000000000000000000000000008 -S3154004CEE000000000000000000000000000000000F8 -S3154004CEF000000000000000000000000000000000E8 -S3154004CF0000000000000000000000000000000000D7 -S3154004CF1000000000000000000000000000000000C7 -S3154004CF2000000000000000000000000000000000B7 -S3154004CF3000000000000000000000000000000000A7 -S3154004CF400000000000000000000000000000000097 -S3154004CF500000000000000000000000000000000087 -S3154004CF600000000000000000000000000000000077 -S3154004CF700000000000000000000000000000000067 -S3154004CF800000000000000000000000000000000057 -S3154004CF900000000000000000000000000000000047 -S3154004CFA00000000000000000000000000000000037 -S3154004CFB00000000000000000000000000000000027 -S3154004CFC00000000000000000000000000000000017 -S3154004CFD00000000000000000000000000000000007 -S3154004CFE000000000000000000000000000000000F7 -S3154004CFF000000000000000000000000000000000E7 -S3154004D00000000000000000000000000000000000D6 -S3154004D01000000000000000000000000000000000C6 -S3154004D02000000000000000000000000000000000B6 -S3154004D03000000000000000000000000000000000A6 -S3154004D0400000000000000000000000000000000096 -S3154004D0500000000000000000000000000000000086 -S3154004D0600000000000000000000000000000000076 -S3154004D0700000000000000000000000000000000066 -S3154004D0800000000000000000000000000000000056 -S3154004D0900000000000000000000000000000000046 -S3154004D0A00000000000000000000000000000000036 -S3154004D0B00000000000000000000000000000000026 -S3154004D0C00000000000000000000000000000000016 -S3154004D0D00000000000000000000000000000000006 -S3154004D0E000000000000000000000000000000000F6 -S3154004D0F000000000000000000000000000000000E6 -S3154004D10000000000000000000000000000000000D5 -S3154004D11000000000000000000000000000000000C5 -S3154004D12000000000000000000000000000000000B5 -S3154004D13000000000000000000000000000000000A5 -S3154004D1400000000000000000000000000000000095 -S3154004D1500000000000000000000000000000000085 -S3154004D1600000000000000000000000000000000075 -S3154004D1700000000000000000000000000000000065 -S3154004D1800000000000000000000000000000000055 -S3154004D1900000000000000000000000000000000045 -S3154004D1A00000000000000000000000000000000035 -S3154004D1B00000000000000000000000000000000025 -S3154004D1C00000000000000000000000000000000015 -S3154004D1D00000000000000000000000000000000005 -S3154004D1E000000000000000000000000000000000F5 -S3154004D1F000000000000000000000000000000000E5 -S3154004D20000000000000000000000000000000000D4 -S3154004D21000000000000000000000000000000000C4 -S3154004D22000000000000000000000000000000000B4 -S3154004D23000000000000000000000000000000000A4 -S3154004D2400000000000000000000000000000000094 -S3154004D2500000000000000000000000000000000084 -S3154004D2600000000000000000000000000000000074 -S3154004D2700000000000000000000000000000000064 -S3154004D2800000000000000000000000000000000054 -S3154004D2900000000000000000000000000000000044 -S3154004D2A00000000000000000000000000000000034 -S3154004D2B00000000000000000000000000000000024 -S3154004D2C00000000000000000000000000000000014 -S3154004D2D00000000000000000000000000000000004 -S3154004D2E000000000000000000000000000000000F4 -S3154004D2F000000000000000000000000000000000E4 -S3154004D30000000000000000000000000000000000D3 -S3154004D31000000000000000000000000000000000C3 -S3154004D32000000000000000000000000000000000B3 -S3154004D33000000000000000000000000000000000A3 -S3154004D3400000000000000000000000000000000093 -S3154004D3500000000000000000000000000000000083 -S3154004D3600000000000000000000000000000000073 -S3154004D3700000000000000000000000000000000063 -S3154004D3800000000000000000000000000000000053 -S3154004D3900000000000000000000000000000000043 -S3154004D3A00000000000000000000000000000000033 -S3154004D3B00000000000000000000000000000000023 -S3154004D3C00000000000000000000000000000000013 -S3154004D3D00000000000000000000000000000000003 -S3154004D3E000000000000000000000000000000000F3 -S3154004D3F000000000000000000000000000000000E3 -S3154004D40000000000000000000000000000000000D2 -S3154004D41000000000000000000000000000000000C2 -S3154004D42000000000000000000000000000000000B2 -S3154004D43000000000000000000000000000000000A2 -S3154004D4400000000000000000000000000000000092 -S3154004D4500000000000000000000000000000000082 -S3154004D4600000000000000000000000000000000072 -S3154004D4700000000000000000000000000000000062 -S3154004D4800000000000000000000000000000000052 -S3154004D4900000000000000000000000000000000042 -S3154004D4A00000000000000000000000000000000032 -S3154004D4B00000000000000000000000000000000022 -S3154004D4C00000000000000000000000000000000012 -S3154004D4D00000000000000000000000000000000002 -S3154004D4E000000000000000000000000000000000F2 -S3154004D4F000000000000000000000000000000000E2 -S3154004D50000000000000000000000000000000000D1 -S3154004D51000000000000000000000000000000000C1 -S3154004D52000000000000000000000000000000000B1 -S3154004D53000000000000000000000000000000000A1 -S3154004D5400000000000000000000000000000000091 -S3154004D5500000000000000000000000000000000081 -S3154004D5600000000000000000000000000000000071 -S3154004D5700000000000000000000000000000000061 -S3154004D5800000000000000000000000000000000051 -S3154004D5900000000000000000000000000000000041 -S3154004D5A00000000000000000000000000000000031 -S3154004D5B00000000000000000000000000000000021 -S3154004D5C00000000000000000000000000000000011 -S3154004D5D00000000000000000000000000000000001 -S3154004D5E000000000000000000000000000000000F1 -S3154004D5F000000000000000000000000000000000E1 -S3154004D60000000000000000000000000000000000D0 -S3154004D61000000000000000000000000000000000C0 -S3154004D62000000000000000000000000000000000B0 -S3154004D63000000000000000000000000000000000A0 -S3154004D6400000000000000000000000000000000090 -S3154004D6500000000000000000000000000000000080 -S3154004D6600000000000000000000000000000000070 -S3154004D6700000000000000000000000000000000060 -S3154004D6800000000000000000000000000000000050 -S3154004D6900000000000000000000000000000000040 -S3154004D6A00000000000000000000000000000000030 -S3154004D6B00000000000000000000000000000000020 -S3154004D6C00000000000000000000000000000000010 -S3154004D6D00000000000000000000000000000000000 -S3154004D6E000000000000000000000000000000000F0 -S3154004D6F000000000000000000000000000000000E0 -S3154004D70000000000000000000000000000000000CF -S3154004D71000000000000000000000000000000000BF -S3154004D72000000000000000000000000000000000AF -S3154004D730000000000000000000000000000000009F -S3154004D740000000000000000000000000000000008F -S3154004D750000000000000000000000000000000007F -S3154004D760000000000000000000000000000000006F -S3154004D770000000000000000000000000000000005F -S3154004D780000000000000000000000000000000004F -S3154004D790000000000000000000000000000000003F -S3154004D7A0000000000000000000000000000000002F -S3154004D7B0000000000000000000000000000000001F -S3154004D7C0000000000000000000000000000000000F -S3154004D7D000000000000000000000000000000000FF -S3154004D7E000000000000000000000000000000000EF -S3154004D7F000000000000000000000000000000000DF -S3154004D80000000000000000000000000000000000CE -S3154004D81000000000000000000000000000000000BE -S3154004D82000000000000000000000000000000000AE -S3154004D830000000000000000000000000000000009E -S3154004D840000000000000000000000000000000008E -S3154004D850000000000000000000000000000000007E -S3154004D860000000000000000000000000000000006E -S3154004D870000000000000000000000000000000005E -S3154004D880000000000000000000000000000000004E -S3154004D890000000000000000000000000000000003E -S3154004D8A0000000000000000000000000000000002E -S3154004D8B0000000000000000000000000000000001E -S3154004D8C0000000000000000000000000000000000E -S3154004D8D000000000000000000000000000000000FE -S3154004D8E000000000000000000000000000000000EE -S3154004D8F000000000000000000000000000000000DE -S3154004D90000000000000000000000000000000000CD -S3154004D91000000000000000000000000000000000BD -S3154004D92000000000000000000000000000000000AD -S3154004D930000000000000000000000000000000009D -S3154004D940000000000000000000000000000000008D -S3154004D950000000000000000000000000000000007D -S3154004D960000000000000000000000000000000006D -S3154004D970000000000000000000000000000000005D -S3154004D980000000000000000000000000000000004D -S3154004D990000000000000000000000000000000003D -S3154004D9A0000000000000000000000000000000002D -S3154004D9B0000000000000000000000000000000001D -S3154004D9C0000000000000000000000000000000000D -S3154004D9D000000000000000000000000000000000FD -S3154004D9E000000000000000000000000000000000ED -S3154004D9F000000000000000000000000000000000DD -S3154004DA0000000000000000000000000000000000CC -S3154004DA1000000000000000000000000000000000BC -S3154004DA2000000000000000000000000000000000AC -S3154004DA30000000000000000000000000000000009C -S3154004DA40000000000000000000000000000000008C -S3154004DA50000000000000000000000000000000007C -S3154004DA60000000000000000000000000000000006C -S3154004DA70000000000000000000000000000000005C -S3154004DA80000000000000000000000000000000004C -S3154004DA90000000000000000000000000000000003C -S3154004DAA0000000000000000000000000000000002C -S3154004DAB0000000000000000000000000000000001C -S3154004DAC0000000000000000000000000000000000C -S3154004DAD000000000000000000000000000000000FC -S3154004DAE000000000000000000000000000000000EC -S3154004DAF000000000000000000000000000000000DC -S3154004DB0000000000000000000000000000000000CB -S3154004DB1000000000000000000000000000000000BB -S3154004DB2000000000000000000000000000000000AB -S3154004DB30000000000000000000000000000000009B -S3154004DB40000000000000000000000000000000008B -S3154004DB50000000000000000000000000000000007B -S3154004DB60000000000000000000000000000000006B -S3154004DB70000000000000000000000000000000005B -S3154004DB80000000000000000000000000000000004B -S3154004DB90000000000000000000000000000000003B -S3154004DBA0000000000000000000000000000000002B -S3154004DBB0000000000000000000000000000000001B -S3154004DBC0000000000000000000000000000000000B -S3154004DBD000000000000000000000000000000000FB -S3154004DBE000000000000000000000000000000000EB -S3154004DBF000000000000000000000000000000000DB -S3154004DC0000000000000000000000000000000000CA -S3154004DC1000000000000000000000000000000000BA -S3154004DC2000000000000000000000000000000000AA -S3154004DC30000000000000000000000000000000009A -S3154004DC40000000000000000000000000000000008A -S3154004DC50000000000000000000000000000000007A -S3154004DC60000000000000000000000000000000006A -S3154004DC70000000000000000000000000000000005A -S3154004DC80000000000000000000000000000000004A -S3154004DC90000000000000000000000000000000003A -S3154004DCA0000000000000000000000000000000002A -S3154004DCB0000000000000000000000000000000001A -S3154004DCC0000000000000000000000000000000000A -S3154004DCD000000000000000000000000000000000FA -S3154004DCE000000000000000000000000000000000EA -S3154004DCF000000000000000000000000000000000DA -S3154004DD0000000000000000000000000000000000C9 -S3154004DD1000000000000000000000000000000000B9 -S3154004DD2000000000000000000000000000000000A9 -S3154004DD300000000000000000000000000000000099 -S3154004DD400000000000000000000000000000000089 -S3154004DD500000000000000000000000000000000079 -S3154004DD600000000000000000000000000000000069 -S3154004DD700000000000000000000000000000000059 -S3154004DD800000000000000000000000000000000049 -S3154004DD900000000000000000000000000000000039 -S3154004DDA00000000000000000000000000000000029 -S3154004DDB00000000000000000000000000000000019 -S3154004DDC00000000000000000000000000000000009 -S3154004DDD000000000000000000000000000000000F9 -S3154004DDE000000000000000000000000000000000E9 -S3154004DDF000000000000000000000000000000000D9 -S3154004DE0000000000000000000000000000000000C8 -S3154004DE1000000000000000000000000000000000B8 -S3154004DE2000000000000000000000000000000000A8 -S3154004DE300000000000000000000000000000000098 -S3154004DE400000000000000000000000000000000088 -S3154004DE500000000000000000000000000000000078 -S3154004DE600000000000000000000000000000000068 -S3154004DE700000000000000000000000000000000058 -S3154004DE800000000000000000000000000000000048 -S3154004DE900000000000000000000000000000000038 -S3154004DEA00000000000000000000000000000000028 -S3154004DEB00000000000000000000000000000000018 -S3154004DEC00000000000000000000000000000000008 -S3154004DED000000000000000000000000000000000F8 -S3154004DEE000000000000000000000000000000000E8 -S3154004DEF000000000000000000000000000000000D8 -S3154004DF0000000000000000000000000000000000C7 -S3154004DF1000000000000000000000000000000000B7 -S3154004DF2000000000000000000000000000000000A7 -S3154004DF300000000000000000000000000000000097 -S3154004DF400000000000000000000000000000000087 -S3154004DF500000000000000000000000000000000077 -S3154004DF600000000000000000000000000000000067 -S3154004DF700000000000000000000000000000000057 -S3154004DF800000000000000000000000000000000047 -S3154004DF900000000000000000000000000000000037 -S3154004DFA00000000000000000000000000000000027 -S3154004DFB00000000000000000000000000000000017 -S3154004DFC00000000000000000000000000000000007 -S3154004DFD000000000000000000000000000000000F7 -S3154004DFE000000000000000000000000000000000E7 -S3154004DFF000000000000000000000000000000000D7 -S3154004E00000000000000000000000000000000000C6 -S3154004E01000000000000000000000000000000000B6 -S3154004E02000000000000000000000000000000000A6 -S3154004E0300000000000000000000000000000000096 -S3154004E0400000000000000000000000000000000086 -S3154004E0500000000000000000000000000000000076 -S3154004E0600000000000000000000000000000000066 -S3154004E0700000000000000000000000000000000056 -S3154004E0800000000000000000000000000000000046 -S3154004E0900000000000000000000000000000000036 -S3154004E0A00000000000000000000000000000000026 -S3154004E0B00000000000000000000000000000000016 -S3154004E0C00000000000000000000000000000000006 -S3154004E0D000000000000000000000000000000000F6 -S3154004E0E000000000000000000000000000000000E6 -S3154004E0F000000000000000000000000000000000D6 -S3154004E10000000000000000000000000000000000C5 -S3154004E11000000000000000000000000000000000B5 -S3154004E12000000000000000000000000000000000A5 -S3154004E1300000000000000000000000000000000095 -S3154004E1400000000000000000000000000000000085 -S3154004E1500000000000000000000000000000000075 -S3154004E1600000000000000000000000000000000065 -S3154004E1700000000000000000000000000000000055 -S3154004E1800000000000000000000000000000000045 -S3154004E1900000000000000000000000000000000035 -S3154004E1A00000000000000000000000000000000025 -S3154004E1B00000000000000000000000000000000015 -S3154004E1C00000000000000000000000000000000005 -S3154004E1D000000000000000000000000000000000F5 -S3154004E1E000000000000000000000000000000000E5 -S3154004E1F000000000000000000000000000000000D5 -S3154004E20000000000000000000000000000000000C4 -S3154004E21000000000000000000000000000000000B4 -S3154004E22000000000000000000000000000000000A4 -S3154004E2300000000000000000000000000000000094 -S3154004E2400000000000000000000000000000000084 -S3154004E2500000000000000000000000000000000074 -S3154004E2600000000000000000000000000000000064 -S3154004E2700000000000000000000000000000000054 -S3154004E2800000000000000000000000000000000044 -S3154004E2900000000000000000000000000000000034 -S3154004E2A00000000000000000000000000000000024 -S3154004E2B00000000000000000000000000000000014 -S3154004E2C00000000000000000000000000000000004 -S3154004E2D000000000000000000000000000000000F4 -S3154004E2E000000000000000000000000000000000E4 -S3154004E2F000000000000000000000000000000000D4 -S3154004E30000000000000000000000000000000000C3 -S3154004E31000000000000000000000000000000000B3 -S3154004E32000000000000000000000000000000000A3 -S3154004E3300000000000000000000000000000000093 -S3154004E3400000000000000000000000000000000083 -S3154004E3500000000000000000000000000000000073 -S3154004E3600000000000000000000000000000000063 -S3154004E3700000000000000000000000000000000053 -S3154004E3800000000000000000000000000000000043 -S3154004E3900000000000000000000000000000000033 -S3154004E3A00000000000000000000000000000000023 -S3154004E3B00000000000000000000000000000000013 -S3154004E3C00000000000000000000000000000000003 -S3154004E3D000000000000000000000000000000000F3 -S3154004E3E000000000000000000000000000000000E3 -S3154004E3F000000000000000000000000000000000D3 -S3154004E40000000000000000000000000000000000C2 -S3154004E41000000000000000000000000000000000B2 -S3154004E42000000000000000000000000000000000A2 -S3154004E4300000000000000000000000000000000092 -S3154004E4400000000000000000000000000000000082 -S3154004E4500000000000000000000000000000000072 -S3154004E4600000000000000000000000000000000062 -S3154004E4700000000000000000000000000000000052 -S3154004E4800000000000000000000000000000000042 -S3154004E4900000000000000000000000000000000032 -S3154004E4A00000000000000000000000000000000022 -S3154004E4B00000000000000000000000000000000012 -S3154004E4C00000000000000000000000000000000002 -S3154004E4D000000000000000000000000000000000F2 -S3154004E4E000000000000000000000000000000000E2 -S3154004E4F000000000000000000000000000000000D2 -S3154004E50000000000000000000000000000000000C1 -S3154004E51000000000000000000000000000000000B1 -S3154004E52000000000000000000000000000000000A1 -S3154004E5300000000000000000000000000000000091 -S3154004E5400000000000000000000000000000000081 -S3154004E5500000000000000000000000000000000071 -S3154004E5600000000000000000000000000000000061 -S3154004E5700000000000000000000000000000000051 -S3154004E5800000000000000000000000000000000041 -S3154004E5900000000000000000000000000000000031 -S3154004E5A00000000000000000000000000000000021 -S3154004E5B00000000000000000000000000000000011 -S3154004E5C00000000000000000000000000000000001 -S3154004E5D000000000000000000000000000000000F1 -S3154004E5E000000000000000000000000000000000E1 -S3154004E5F000000000000000000000000000000000D1 -S3154004E60000000000000000000000000000000000C0 -S3154004E61000000000000000000000000000000000B0 -S3154004E62000000000000000000000000000000000A0 -S3154004E6300000000000000000000000000000000090 -S3154004E6400000000000000000000000000000000080 -S3154004E6500000000000000000000000000000000070 -S3154004E6600000000000000000000000000000000060 -S3154004E6700000000000000000000000000000000050 -S3154004E6800000000000000000000000000000000040 -S3154004E6900000000000000000000000000000000030 -S3154004E6A00000000000000000000000000000000020 -S3154004E6B00000000000000000000000000000000010 -S3154004E6C00000000000000000000000000000000000 -S3154004E6D000000000000000000000000000000000F0 -S3154004E6E000000000000000000000000000000000E0 -S3154004E6F000000000000000000000000000000000D0 -S3154004E70000000000000000000000000000000000BF -S3154004E71000000000000000000000000000000000AF -S3154004E720000000000000000000000000000000009F -S3154004E730000000000000000000000000000000008F -S3154004E740000000000000000000000000000000007F -S3154004E750000000000000000000000000000000006F -S3154004E760000000000000000000000000000000005F -S3154004E770000000000000000000000000000000004F -S3154004E780000000000000000000000000000000003F -S3154004E790000000000000000000000000000000002F -S3154004E7A0000000000000000000000000000000001F -S3154004E7B0000000000000000000000000000000000F -S3154004E7C000000000000000000000000000000000FF -S3154004E7D000000000000000000000000000000000EF -S3154004E7E000000000000000000000000000000000DF -S3154004E7F000000000000000000000000000000000CF -S3154004E80000000000000000000000000000000000BE -S3154004E81000000000000000000000000000000000AE -S3154004E820000000000000000000000000000000009E -S3154004E830000000000000000000000000000000008E -S3154004E840000000000000000000000000000000007E -S3154004E850000000000000000000000000000000006E -S3154004E860000000000000000000000000000000005E -S3154004E870000000000000000000000000000000004E -S3154004E880000000000000000000000000000000003E -S3154004E890000000000000000000000000000000002E -S3154004E8A0000000000000000000000000000000001E -S3154004E8B0000000000000000000000000000000000E -S3154004E8C000000000000000000000000000000000FE -S3154004E8D000000000000000000000000000000000EE -S3154004E8E000000000000000000000000000000000DE -S3154004E8F000000000000000000000000000000000CE -S3154004E90000000000000000000000000000000000BD -S3154004E91000000000000000000000000000000000AD -S3154004E920000000000000000000000000000000009D -S3154004E930000000000000000000000000000000008D -S3154004E940000000000000000000000000000000007D -S3154004E950000000000000000000000000000000006D -S3154004E960000000000000000000000000000000005D -S3154004E970000000000000000000000000000000004D -S3154004E980000000000000000000000000000000003D -S3154004E990000000000000000000000000000000002D -S3154004E9A0000000000000000000000000000000001D -S3154004E9B0000000000000000000000000000000000D -S3154004E9C000000000000000000000000000000000FD -S3154004E9D000000000000000000000000000000000ED -S3154004E9E000000000000000000000000000000000DD -S3154004E9F000000000000000000000000000000000CD -S3154004EA0000000000000000000000000000000000BC -S3154004EA1000000000000000000000000000000000AC -S3154004EA20000000000000000000000000000000009C -S3154004EA30000000000000000000000000000000008C -S3154004EA40000000000000000000000000000000007C -S3154004EA50000000000000000000000000000000006C -S3154004EA60000000000000000000000000000000005C -S3154004EA70000000000000000000000000000000004C -S3154004EA80000000000000000000000000000000003C -S3154004EA90000000000000000000000000000000002C -S3154004EAA0000000000000000000000000000000001C -S3154004EAB0000000000000000000000000000000000C -S3154004EAC000000000000000000000000000000000FC -S3154004EAD000000000000000000000000000000000EC -S3154004EAE000000000000000000000000000000000DC -S3154004EAF000000000000000000000000000000000CC -S3154004EB0000000000000000000000000000000000BB -S3154004EB1000000000000000000000000000000000AB -S3154004EB20000000000000000000000000000000009B -S3154004EB30000000000000000000000000000000008B -S3154004EB40000000000000000000000000000000007B -S3154004EB50000000000000000000000000000000006B -S3154004EB60000000000000000000000000000000005B -S3154004EB70000000000000000000000000000000004B -S3154004EB80000000000000000000000000000000003B -S3154004EB90000000000000000000000000000000002B -S3154004EBA0000000000000000000000000000000001B -S3154004EBB0000000000000000000000000000000000B -S3154004EBC000000000000000000000000000000000FB -S3154004EBD000000000000000000000000000000000EB -S3154004EBE000000000000000000000000000000000DB -S3154004EBF000000000000000000000000000000000CB -S3154004EC0000000000000000000000000000000000BA -S3154004EC1000000000000000000000000000000000AA -S3154004EC20000000000000000000000000000000009A -S3154004EC30000000000000000000000000000000008A -S3154004EC40000000000000000000000000000000007A -S3154004EC50000000000000000000000000000000006A -S3154004EC60000000000000000000000000000000005A -S3154004EC70000000000000000000000000000000004A -S3154004EC80000000000000000000000000000000003A -S3154004EC90000000000000000000000000000000002A -S3154004ECA0000000000000000000000000000000001A -S3154004ECB0000000000000000000000000000000000A -S3154004ECC000000000000000000000000000000000FA -S3154004ECD000000000000000000000000000000000EA -S3154004ECE000000000000000000000000000000000DA -S3154004ECF000000000000000000000000000000000CA -S3154004ED0000000000000000000000000000000000B9 -S3154004ED1000000000000000000000000000000000A9 -S3154004ED200000000000000000000000000000000099 -S3154004ED300000000000000000000000000000000089 -S3154004ED400000000000000000000000000000000079 -S3154004ED500000000000000000000000000000000069 -S3154004ED600000000000000000000000000000000059 -S3154004ED700000000000000000000000000000000049 -S3154004ED800000000000000000000000000000000039 -S3154004ED900000000000000000000000000000000029 -S3154004EDA00000000000000000000000000000000019 -S3154004EDB00000000000000000000000000000000009 -S3154004EDC000000000000000000000000000000000F9 -S3154004EDD000000000000000000000000000000000E9 -S3154004EDE000000000000000000000000000000000D9 -S3154004EDF000000000000000000000000000000000C9 -S3154004EE0000000000000000000000000000000000B8 -S3154004EE1000000000000000000000000000000000A8 -S3154004EE200000000000000000000000000000000098 -S3154004EE300000000000000000000000000000000088 -S3154004EE400000000000000000000000000000000078 -S3154004EE500000000000000000000000000000000068 -S3154004EE600000000000000000000000000000000058 -S3154004EE700000000000000000000000000000000048 -S3154004EE800000000000000000000000000000000038 -S3154004EE900000000000000000000000000000000028 -S3154004EEA00000000000000000000000000000000018 -S3154004EEB00000000000000000000000000000000008 -S3154004EEC000000000000000000000000000000000F8 -S3154004EED000000000000000000000000000000000E8 -S3154004EEE000000000000000000000000000000000D8 -S3154004EEF000000000000000000000000000000000C8 -S3154004EF0000000000000000000000000000000000B7 -S3154004EF1000000000000000000000000000000000A7 -S3154004EF200000000000000000000000000000000097 -S3154004EF300000000000000000000000000000000087 -S3154004EF400000000000000000000000000000000077 -S3154004EF500000000000000000000000000000000067 -S3154004EF600000000000000000000000000000000057 -S3154004EF700000000000000000000000000000000047 -S3154004EF800000000000000000000000000000000037 -S3154004EF900000000000000000000000000000000027 -S3154004EFA00000000000000000000000000000000017 -S3154004EFB00000000000000000000000000000000007 -S3154004EFC000000000000000000000000000000000F7 -S3154004EFD000000000000000000000000000000000E7 -S3154004EFE000000000000000000000000000000000D7 -S3154004EFF000000000000000000000000000000000C7 -S3154004F00000000000000000000000000000000000B6 -S3154004F01000000000000000000000000000000000A6 -S3154004F0200000000000000000000000000000000096 -S3154004F0300000000000000000000000000000000086 -S3154004F0400000000000000000000000000000000076 -S3154004F0500000000000000000000000000000000066 -S3154004F0600000000000000000000000000000000056 -S3154004F0700000000000000000000000000000000046 -S3154004F0800000000000000000000000000000000036 -S3154004F0900000000000000000000000000000000026 -S3154004F0A00000000000000000000000000000000016 -S3154004F0B00000000000000000000000000000000006 -S3154004F0C000000000000000000000000000000000F6 -S3154004F0D000000000000000000000000000000000E6 -S3154004F0E000000000000000000000000000000000D6 -S3154004F0F000000000000000000000000000000000C6 -S3154004F10000000000000000000000000000000000B5 -S3154004F11000000000000000000000000000000000A5 -S3154004F1200000000000000000000000000000000095 -S3154004F1300000000000000000000000000000000085 -S3154004F1400000000000000000000000000000000075 -S3154004F1500000000000000000000000000000000065 -S3154004F1600000000000000000000000000000000055 -S3154004F1700000000000000000000000000000000045 -S3154004F1800000000000000000000000000000000035 -S3154004F1900000000000000000000000000000000025 -S3154004F1A00000000000000000000000000000000015 -S3154004F1B00000000000000000000000000000000005 -S3154004F1C000000000000000000000000000000000F5 -S3154004F1D000000000000000000000000000000000E5 -S3154004F1E000000000000000000000000000000000D5 -S3154004F1F000000000000000000000000000000000C5 -S3154004F20000000000000000000000000000000000B4 -S3154004F21000000000000000000000000000000000A4 -S3154004F2200000000000000000000000000000000094 -S3154004F2300000000000000000000000000000000084 -S3154004F2400000000000000000000000000000000074 -S3154004F2500000000000000000000000000000000064 -S3154004F2600000000000000000000000000000000054 -S3154004F2700000000000000000000000000000000044 -S3154004F2800000000000000000000000000000000034 -S3154004F2900000000000000000000000000000000024 -S3154004F2A00000000000000000000000000000000014 -S3154004F2B00000000000000000000000000000000004 -S3154004F2C000000000000000000000000000000000F4 -S3154004F2D000000000000000000000000000000000E4 -S3154004F2E000000000000000000000000000000000D4 -S3154004F2F000000000000000000000000000000000C4 -S3154004F30000000000000000000000000000000000B3 -S3154004F31000000000000000000000000000000000A3 -S3154004F3200000000000000000000000000000000093 -S3154004F3300000000000000000000000000000000083 -S3154004F3400000000000000000000000000000000073 -S3154004F3500000000000000000000000000000000063 -S3154004F3600000000000000000000000000000000053 -S3154004F3700000000000000000000000000000000043 -S3154004F3800000000000000000000000000000000033 -S3154004F3900000000000000000000000000000000023 -S3154004F3A00000000000000000000000000000000013 -S3154004F3B00000000000000000000000000000000003 -S3154004F3C000000000000000000000000000000000F3 -S3154004F3D000000000000000000000000000000000E3 -S3154004F3E000000000000000000000000000000000D3 -S3154004F3F000000000000000000000000000000000C3 -S3154004F40000000000000000000000000000000000B2 -S3154004F41000000000000000000000000000000000A2 -S3154004F4200000000000000000000000000000000092 -S3154004F4300000000000000000000000000000000082 -S3154004F4400000000000000000000000000000000072 -S3154004F4500000000000000000000000000000000062 -S3154004F4600000000000000000000000000000000052 -S3154004F4700000000000000000000000000000000042 -S3154004F4800000000000000000000000000000000032 -S3154004F4900000000000000000000000000000000022 -S3154004F4A00000000000000000000000000000000012 -S3154004F4B00000000000000000000000000000000002 -S3154004F4C000000000000000000000000000000000F2 -S3154004F4D000000000000000000000000000000000E2 -S3154004F4E000000000000000000000000000000000D2 -S3154004F4F000000000000000000000000000000000C2 -S3154004F50000000000000000000000000000000000B1 -S3154004F51000000000000000000000000000000000A1 -S3154004F5200000000000000000000000000000000091 -S3154004F5300000000000000000000000000000000081 -S3154004F5400000000000000000000000000000000071 -S3154004F5500000000000000000000000000000000061 -S3154004F5600000000000000000000000000000000051 -S3154004F5700000000000000000000000000000000041 -S3154004F5800000000000000000000000000000000031 -S3154004F5900000000000000000000000000000000021 -S3154004F5A00000000000000000000000000000000011 -S3154004F5B00000000000000000000000000000000001 -S3154004F5C000000000000000000000000000000000F1 -S3154004F5D000000000000000000000000000000000E1 -S3154004F5E000000000000000000000000000000000D1 -S3154004F5F000000000000000000000000000000000C1 -S3154004F60000000000000000000000000000000000B0 -S3154004F61000000000000000000000000000000000A0 -S3154004F6200000000000000000000000000000000090 -S3154004F6300000000000000000000000000000000080 -S3154004F6400000000000000000000000000000000070 -S3154004F6500000000000000000000000000000000060 -S3154004F6600000000000000000000000000000000050 -S3154004F6700000000000000000000000000000000040 -S3154004F6800000000000000000000000000000000030 -S3154004F6900000000000000000000000000000000020 -S3154004F6A00000000000000000000000000000000010 -S3154004F6B00000000000000000000000000000000000 -S3154004F6C000000000000000000000000000000000F0 -S3154004F6D000000000000000000000000000000000E0 -S3154004F6E000000000000000000000000000000000D0 -S3154004F6F000000000000000000000000000000000C0 -S3154004F70000000000000000000000000000000000AF -S3154004F710000000000000000000000000000000009F -S3154004F720000000000000000000000000000000008F -S3154004F730000000000000000000000000000000007F -S3154004F740000000000000000000000000000000006F -S3154004F750000000000000000000000000000000005F -S3154004F760000000000000000000000000000000004F -S3154004F770000000000000000000000000000000003F -S3154004F780000000000000000000000000000000002F -S3154004F790000000000000000000000000000000001F -S3154004F7A0000000000000000000000000000000000F -S3154004F7B000000000000000000000000000000000FF -S3154004F7C000000000000000000000000000000000EF -S3154004F7D000000000000000000000000000000000DF -S3154004F7E000000000000000000000000000000000CF -S3154004F7F000000000000000000000000000000000BF -S3154004F80000000000000000000000000000000000AE -S3154004F810000000000000000000000000000000009E -S3154004F820000000000000000000000000000000008E -S3154004F830000000000000000000000000000000007E -S3154004F840000000000000000000000000000000006E -S3154004F850000000000000000000000000000000005E -S3154004F860000000000000000000000000000000004E -S3154004F870000000000000000000000000000000003E -S3154004F880000000000000000000000000000000002E -S3154004F890000000000000000000000000000000001E -S3154004F8A0000000000000000000000000000000000E -S3154004F8B000000000000000000000000000000000FE -S3154004F8C000000000000000000000000000000000EE -S3154004F8D000000000000000000000000000000000DE -S3154004F8E000000000000000000000000000000000CE -S3154004F8F000000000000000000000000000000000BE -S3154004F90000000000000000000000000000000000AD -S3154004F910000000000000000000000000000000009D -S3154004F920000000000000000000000000000000008D -S3154004F930000000000000000000000000000000007D -S3154004F940000000000000000000000000000000006D -S3154004F950000000000000000000000000000000005D -S3154004F960000000000000000000000000000000004D -S3154004F970000000000000000000000000000000003D -S3154004F980000000000000000000000000000000002D -S3154004F990000000000000000000000000000000001D -S3154004F9A0000000000000000000000000000000000D -S3154004F9B000000000000000000000000000000000FD -S3154004F9C000000000000000000000000000000000ED -S3154004F9D000000000000000000000000000000000DD -S3154004F9E000000000000000000000000000000000CD -S3154004F9F000000000000000000000000000000000BD -S3154004FA0000000000000000000000000000000000AC -S3154004FA10000000000000000000000000000000009C -S3154004FA20000000000000000000000000000000008C -S3154004FA30000000000000000000000000000000007C -S3154004FA40000000000000000000000000000000006C -S3154004FA50000000000000000000000000000000005C -S3154004FA60000000000000000000000000000000004C -S3154004FA70000000000000000000000000000000003C -S3154004FA80000000000000000000000000000000002C -S3154004FA90000000000000000000000000000000001C -S3154004FAA0000000000000000000000000000000000C -S3154004FAB000000000000000000000000000000000FC -S3154004FAC000000000000000000000000000000000EC -S3154004FAD000000000000000000000000000000000DC -S3154004FAE000000000000000000000000000000000CC -S3154004FAF000000000000000000000000000000000BC -S3154004FB0000000000000000000000000000000000AB -S3154004FB10000000000000000000000000000000009B -S3154004FB20000000000000000000000000000000008B -S3154004FB30000000000000000000000000000000007B -S3154004FB40000000000000000000000000000000006B -S3154004FB50000000000000000000000000000000005B -S3154004FB60000000000000000000000000000000004B -S3154004FB70000000000000000000000000000000003B -S3154004FB80000000000000000000000000000000002B -S3154004FB90000000000000000000000000000000001B -S3154004FBA0000000000000000000000000000000000B -S3154004FBB000000000000000000000000000000000FB -S3154004FBC000000000000000000000000000000000EB -S3154004FBD000000000000000000000000000000000DB -S3154004FBE000000000000000000000000000000000CB -S3154004FBF000000000000000000000000000000000BB -S3154004FC0000000000000000000000000000000000AA -S3154004FC10000000000000000000000000000000009A -S3154004FC20000000000000000000000000000000008A -S3154004FC30000000000000000000000000000000007A -S3154004FC40000000000000000000000000000000006A -S3154004FC50000000000000000000000000000000005A -S3154004FC60000000000000000000000000000000004A -S3154004FC70000000000000000000000000000000003A -S3154004FC80000000000000000000000000000000002A -S3154004FC90000000000000000000000000000000001A -S3154004FCA0000000000000000000000000000000000A -S3154004FCB000000000000000000000000000000000FA -S3154004FCC000000000000000000000000000000000EA -S3154004FCD000000000000000000000000000000000DA -S3154004FCE000000000000000000000000000000000CA -S3154004FCF000000000000000000000000000000000BA -S3154004FD0000000000000000000000000000000000A9 -S3154004FD100000000000000000000000000000000099 -S3154004FD200000000000000000000000000000000089 -S3154004FD300000000000000000000000000000000079 -S3154004FD400000000000000000000000000000000069 -S3154004FD500000000000000000000000000000000059 -S3154004FD600000000000000000000000000000000049 -S3154004FD700000000000000000000000000000000039 -S3154004FD800000000000000000000000000000000029 -S3154004FD900000000000000000000000000000000019 -S3154004FDA00000000000000000000000000000000009 -S3154004FDB000000000000000000000000000000000F9 -S3154004FDC000000000000000000000000000000000E9 -S3154004FDD000000000000000000000000000000000D9 -S3154004FDE000000000000000000000000000000000C9 -S3154004FDF000000000000000000000000000000000B9 -S3154004FE0000000000000000000000000000000000A8 -S3154004FE100000000000000000000000000000000098 -S3154004FE200000000000000000000000000000000088 -S3154004FE300000000000000000000000000000000078 -S3154004FE400000000000000000000000000000000068 -S3154004FE500000000000000000000000000000000058 -S3154004FE600000000000000000000000000000000048 -S3154004FE700000000000000000000000000000000038 -S3154004FE800000000000000000000000000000000028 -S3154004FE900000000000000000000000000000000018 -S3154004FEA00000000000000000000000000000000008 -S3154004FEB000000000000000000000000000000000F8 -S3154004FEC000000000000000000000000000000000E8 -S3154004FED000000000000000000000000000000000D8 -S3154004FEE000000000000000000000000000000000C8 -S3154004FEF000000000000000000000000000000000B8 -S3154004FF0000000000000000000000000000000000A7 -S3154004FF100000000000000000000000000000000097 -S3154004FF200000000000000000000000000000000087 -S3154004FF300000000000000000000000000000000077 -S3154004FF400000000000000000000000000000000067 -S3154004FF500000000000000000000000000000000057 -S3154004FF600000000000000000000000000000000047 -S3154004FF700000000000000000000000000000000037 -S3154004FF800000000000000000000000000000000027 -S3154004FF900000000000000000000000000000000017 -S3154004FFA00000000000000000000000000000000007 -S3154004FFB000000000000000000000000000000000F7 -S3154004FFC000000000000000000000000000000000E7 -S3154004FFD000000000000000000000000000000000D7 -S3154004FFE000000000000000000000000000000000C7 -S3154004FFF000000000000000000000000000000000B7 -S3154005000000000002000000030000000000000000A0 -S315400500100000000100000000FFFFFFFE0000000396 -S3154005002055555554FFFFFFFE0000000355555554E1 -S31540050030700FFFFE00000007100249240000000073 -S315400500400000000000000000000000020000000360 -S315400500500000000000000003FFFFFFFEFFFFFFFF5B -S3154005006000000002FFFFFFFD000000000000000049 -S31540050070000000010000000000000000FFFFFFFF38 -S315400500800000000000000001FFFFFFFFFFFFFFFF2C -S31540050090FFFFFFFF00000001FFFFFFFFFFFFFFFE21 -S315400500A00000000300000000FFFFFFFEFFFFFFFD0D -S315400500B000000000000000090000000700000001E4 -S315400500C0FFFFFFF700000002FFFFFFFCFFFFFFF801 -S315400500D000000002FFFFFFFCFFFFFFF8FFFFFFFCEC -S315400500E00000000200000008FFFFFFFCFFFFFFFEC7 -S315400500F0FFFFFFF8FFFFFFF800000001FFFFFFF8D5 -S31540050100FFFFFFF7000000000000000B00000002A3 -S31540050110000000050000002F000000020000001747 -S3154005012000003039000002A700000012FFFF076CEF -S315400501300000004DFFFFFCC600003039FFFFFD59AA -S31540050140FFFFFFEEFFFF076CFFFFFFB30000033A1B -S3154005015000000091FFFFE84100000000FFFFFD841D -S31540050160FFFED02F000000000000F6FA006E498120 -S315400501700000000000000000000000000000000034 -S31540050180FFFFF0000000000200000003000000062B -S3154005019000000002FFFFFFFDFFFFFFFA0000000021 -S315400501A0000000010000000000000000FFFFFFFF07 -S315400501B00000000000000001FFFFFFFFFFFFFFFFFB -S315400501C0FFFFFFFF00000001FFFFFFFFFFFFFFFEF0 -S315400501D000000003FFFFFFFAFFFFFFFEFFFFFFFDE5 -S315400501E000000006000000000000000000000009B5 -S315400501F000000000000000000000000000000000B4 -S31540050200000000000000000100000002000000039D -S315400502100000000000000000000000000000000093 -S31540050220000000004005050C400505D8400506A41C -S315400502300000000000000000000000000000000073 -S315400502400000000000000000000000000000000063 -S31540050250000000004001B5900000000000000000CD -S315400502600000000000000000000000000000000043 -S315400502700000000000000000000000000000000033 -S315400502800000000000000000000000000000000023 -S315400502900000000000000000000000000000000013 -S315400502A00000000000000000000000000000000003 -S315400502B000000000000000000000000000000000F3 -S315400502C000000000000000000000000000000001E2 -S315400502D0330EABCD1234E66DDEEC0005000B0000A7 -S315400502E000000000000000000000000000000000C3 -S315400502F000000000000000000000000000000000B3 -S3154005030000000000000000000000000000000000A2 -S315400503100000000000000000000000000000000092 -S315400503200000000000000000000000000000000082 -S315400503300000000000000000000000000000000072 -S315400503400000000000000000000000000000000062 -S315400503500000000000000000000000000000000052 -S315400503600000000000000000000000000000000042 -S315400503700000000000000000000000000000000032 -S315400503800000000000000000000000000000000022 -S315400503900000000000000000000000000000000012 -S315400503A00000000000000000000000000000000002 -S315400503B000000000000000000000000000000000F2 -S315400503C000000000000000000000000000000000E2 -S315400503D000000000000000000000000000000000D2 -S315400503E000000000000000000000000000000000C2 -S315400503F000000000000000000000000000000000B2 -S3154005040000000000000000000000000000000000A1 -S315400504100000000000000000000000000000000091 -S315400504200000000000000000000000000000000081 -S315400504300000000000000000000000000000000071 -S315400504400000000000000000000000000000000061 -S315400504500000000000000000000000000000000051 -S315400504600000000000000000000000000000000041 -S315400504700000000000000000000000000000000031 -S315400504800000000000000000000000000000000021 -S315400504900000000000000000000000000000000011 -S315400504A00000000000000000000000000000000001 -S315400504B000000000000000000000000000000000F1 -S315400504C000000000000000000000000000000000E1 -S315400504D000000000000000000000000000000000D1 -S315400504E000000000000000000000000000000000C1 -S315400504F000000000000000000000000000000000B1 -S3154005050000000000000000000000000000000000A0 -S315400505100000000000000000000000000000000090 -S315400505200000000000000000000000000000000080 -S315400505300000000000000000000000000000000070 -S315400505400000000000000000000000000000000060 -S315400505500000000000000000000000000000000050 -S315400505600000000000000000000000000000000040 -S315400505700000000000000000000000000000000030 -S315400505800000000000000000000000000000000020 -S315400505900000000000000000000000000000000010 -S315400505A00000000000000000000000000000000000 -S315400505B000000000000000000000000000000000F0 -S315400505C000000000000000000000000000000000E0 -S315400505D000000000000000000000000000000000D0 -S315400505E000000000000000000000000000000000C0 -S315400505F000000000000000000000000000000000B0 -S31540050600000000000000000000000000000000009F -S31540050610000000000000000000000000000000008F -S31540050620000000000000000000000000000000007F -S31540050630000000000000000000000000000000006F -S31540050640000000000000000000000000000000005F -S31540050650000000000000000000000000000000004F -S31540050660000000000000000000000000000000003F -S31540050670000000000000000000000000000000002F -S31540050680000000000000000000000000000000001F -S31540050690000000000000000000000000000000000F -S315400506A000000000000000000000000000000000FF -S315400506B000000000000000000000000000000000EF -S315400506C000000000000000000000000000000000DF -S315400506D000000000000000000000000000000000CF -S315400506E000000000000000000000000000000000BF -S315400506F000000000000000000000000000000000AF -S31540050700000000000000000000000000000000009E -S31540050710000000000000000000000000000000008E -S31540050720000000000000000000000000000000007E -S31540050730000000000000000000000000000000006E -S31540050740000000000000000000000000000000005E -S31540050750000000000000000000000000000000004E -S31540050760000000000000000000000000000000003E -S315400507704005022000000000FFFFFFFF00020000C9 -S315400507800000000000000000400507804005078086 -S3154005079040050788400507884005079040050790AE -S315400507A04005079840050798400507A0400507A05E -S315400507B0400507A8400507A8400507B0400507B00E -S315400507C0400507B8400507B8400507C0400507C0BE -S315400507D0400507C8400507C8400507D0400507D06E -S315400507E0400507D8400507D8400507E0400507E01E -S315400507F0400507E8400507E8400507F0400507F0CE -S31540050800400507F8400507F840050800400508007B -S315400508104005080840050808400508104005081029 -S3154005082040050818400508184005082040050820D9 -S315400508304005082840050828400508304005083089 -S315400508404005083840050838400508404005084039 -S3154005085040050848400508484005085040050850E9 -S315400508604005085840050858400508604005086099 -S315400508704005086840050868400508704005087049 -S3154005088040050878400508784005088040050880F9 -S3154005089040050888400508884005089040050890A9 -S315400508A04005089840050898400508A0400508A059 -S315400508B0400508A8400508A8400508B0400508B009 -S315400508C0400508B8400508B8400508C0400508C0B9 -S315400508D0400508C8400508C8400508D0400508D069 -S315400508E0400508D8400508D8400508E0400508E019 -S315400508F0400508E8400508E8400508F0400508F0C9 -S31540050900400508F8400508F8400509004005090076 -S315400509104005090840050908400509104005091024 -S3154005092040050918400509184005092040050920D4 -S315400509304005092840050928400509304005093084 -S315400509404005093840050938400509404005094034 -S3154005095040050948400509484005095040050950E4 -S315400509604005095840050958400509604005096094 -S315400509704005096840050968400509704005097044 -S3154005098040050978400509784005098040050980F4 -S3154005099040050988400509884005099040050990A4 -S315400509A04005099840050998400509A0400509A054 -S315400509B0400509A8400509A8400509B0400509B004 -S315400509C0400509B8400509B8400509C0400509C0B4 -S315400509D0400509C8400509C8400509D0400509D064 -S315400509E0400509D8400509D8400509E0400509E014 -S315400509F0400509E8400509E8400509F0400509F0C4 -S31540050A00400509F8400509F840050A0040050A0071 -S31540050A1040050A0840050A0840050A1040050A101F -S31540050A2040050A1840050A1840050A2040050A20CF -S31540050A3040050A2840050A2840050A3040050A307F -S31540050A4040050A3840050A3840050A4040050A402F -S31540050A5040050A4840050A4840050A5040050A50DF -S31540050A6040050A5840050A5840050A6040050A608F -S31540050A7040050A6840050A6840050A7040050A703F -S31540050A8040050A7840050A7840050A8040050A80EF -S31540050A9040050A8840050A8840050A9040050A909F -S31540050AA040050A9840050A9840050AA040050AA04F -S31540050AB040050AA840050AA840050AB040050AB0FF -S31540050AC040050AB840050AB840050AC040050AC0AF -S31540050AD040050AC840050AC840050AD040050AD05F -S31540050AE040050AD840050AD840050AE040050AE00F -S31540050AF040050AE840050AE840050AF040050AF0BF -S31540050B0040050AF840050AF840050B0040050B006C -S31540050B1040050B0840050B0840050B1040050B101A -S31540050B2040050B1840050B1840050B2040050B20CA -S31540050B3040050B2840050B2840050B3040050B307A -S31540050B4040050B3840050B3840050B4040050B402A -S31540050B5040050B4840050B4840050B5040050B50DA -S31540050B6040050B5840050B5840050B6040050B608A -S31540050B7040050B6840050B6840050B7040050B703A -S31540050B8040050B7840050B780000000040050B88B2 -S31540050B900000000000000000000000000000000208 -S31540050BA000000000000000000000000000000000FA -S31540050BB000000000000000000000000000000000EA -S31540050BC000000000000000000000000000000000DA -S31540050BD000000000000000000000000000000000CA -S31540050BE000000000000000000000000000000000BA -S31540050BF000000000000000000000000000000000AA -S31540050C000000000000000000000000000000000099 -S31540050C100000000000000000000000000000000089 -S31540050C200000000000000000000000000000000079 -S31540050C3080000100000000080000000700000006D3 -S31540050C40000000030000000000000000FFFF8AD0FE -S30940050C5080000310C2 -S70540000000BA diff --git a/designs/beaglebone-test1/sram.srec b/designs/beaglebone-test1/sram.srec deleted file mode 100644 --- a/designs/beaglebone-test1/sram.srec +++ /dev/null @@ -1,19493 +0,0 @@ -S00C00007372616D2E7372656365 -S31540000000881000000910006C81C1207401000000B6 -S31540000010A1480000A75000001080203EAC102001EF -S3154000002091D0200001000000010000000100000006 -S3154000003091D02000010000000100000001000000F6 -S31540000040A14800002910006B81C523AC01000000C7 -S31540000050A14800002910006981C522D00100000096 -S31540000060A14800002910006981C5233C0100000019 -S3154000007091D02000010000000100000001000000B6 -S3154000008091D02000010000000100000001000000A6 -S31540000090A1480000A75000001080201EAC10200987 -S315400000A091D0200001000000010000000100000086 -S315400000B091D0200001000000010000000100000076 -S315400000C091D0200001000000010000000100000066 -S315400000D091D0200001000000010000000100000056 -S315400000E091D0200001000000010000000100000046 -S315400000F091D0200001000000010000000100000036 -S3154000010091D0200001000000010000000100000025 -S31540000110AE102001A148000010806A35A7500000AB -S31540000120AE102002A148000010806A31A75000009E -S31540000130AE102003A148000010806A2DA750000091 -S31540000140AE102004A148000010806A29A750000084 -S31540000150AE102005A148000010806A25A750000077 -S31540000160AE102006A148000010806A21A75000006A -S31540000170AE102007A148000010806A1DA75000005D -S31540000180AE102008A148000010806A19A750000050 -S31540000190AE102009A148000010806A15A750000043 -S315400001A0AE10200AA148000010806A11A750000036 -S315400001B0AE10200BA148000010806A0DA750000029 -S315400001C0AE10200CA148000010806A09A75000001C -S315400001D0AE10200DA148000010806A05A75000000F -S315400001E0AE10200EA148000010806A01A750000002 -S315400001F0AE10200FA1480000108069FDA7500000F6 -S3154000020091D0200001000000010000000100000024 -S3154000021091D0200001000000010000000100000014 -S3154000022091D0200001000000010000000100000004 -S3154000023091D02000010000000100000001000000F4 -S3154000024091D02000010000000100000001000000E4 -S3154000025091D02000010000000100000001000000D4 -S3154000026091D02000010000000100000001000000C4 -S3154000027091D02000010000000100000001000000B4 -S3154000028091D02000010000000100000001000000A4 -S3154000029091D0200001000000010000000100000094 -S315400002A091D0200001000000010000000100000084 -S315400002B091D0200001000000010000000100000074 -S315400002C091D0200001000000010000000100000064 -S315400002D091D0200001000000010000000100000054 -S315400002E091D0200001000000010000000100000044 -S315400002F091D0200001000000010000000100000034 -S3154000030091D0200001000000010000000100000023 -S3154000031091D0200001000000010000000100000013 -S3154000032091D0200001000000010000000100000003 -S3154000033091D02000010000000100000001000000F3 -S3154000034091D02000010000000100000001000000E3 -S3154000035091D02000010000000100000001000000D3 -S3154000036091D02000010000000100000001000000C3 -S3154000037091D02000010000000100000001000000B3 -S3154000038091D02000010000000100000001000000A3 -S3154000039091D0200001000000010000000100000093 -S315400003A091D0200001000000010000000100000083 -S315400003B091D0200001000000010000000100000073 -S315400003C091D0200001000000010000000100000063 -S315400003D091D0200001000000010000000100000053 -S315400003E091D0200001000000010000000100000043 -S315400003F091D0200001000000010000000100000033 -S3154000040091D0200001000000010000000100000022 -S3154000041091D0200001000000010000000100000012 -S3154000042091D0200001000000010000000100000002 -S3154000043091D02000010000000100000001000000F2 -S3154000044091D02000010000000100000001000000E2 -S3154000045091D02000010000000100000001000000D2 -S3154000046091D02000010000000100000001000000C2 -S3154000047091D02000010000000100000001000000B2 -S3154000048091D02000010000000100000001000000A2 -S3154000049091D0200001000000010000000100000092 -S315400004A091D0200001000000010000000100000082 -S315400004B091D0200001000000010000000100000072 -S315400004C091D0200001000000010000000100000062 -S315400004D091D0200001000000010000000100000052 -S315400004E091D0200001000000010000000100000042 -S315400004F091D0200001000000010000000100000032 -S3154000050091D0200001000000010000000100000021 -S3154000051091D0200001000000010000000100000011 -S3154000052091D0200001000000010000000100000001 -S3154000053091D02000010000000100000001000000F1 -S3154000054091D02000010000000100000001000000E1 -S3154000055091D02000010000000100000001000000D1 -S3154000056091D02000010000000100000001000000C1 -S3154000057091D02000010000000100000001000000B1 -S3154000058091D02000010000000100000001000000A1 -S3154000059091D0200001000000010000000100000091 -S315400005A091D0200001000000010000000100000081 -S315400005B091D0200001000000010000000100000071 -S315400005C091D0200001000000010000000100000061 -S315400005D091D0200001000000010000000100000051 -S315400005E091D0200001000000010000000100000041 -S315400005F091D0200001000000010000000100000031 -S3154000060091D0200001000000010000000100000020 -S3154000061091D0200001000000010000000100000010 -S3154000062091D0200001000000010000000100000000 -S3154000063091D02000010000000100000001000000F0 -S3154000064091D02000010000000100000001000000E0 -S3154000065091D02000010000000100000001000000D0 -S3154000066091D02000010000000100000001000000C0 -S3154000067091D02000010000000100000001000000B0 -S3154000068091D02000010000000100000001000000A0 -S3154000069091D0200001000000010000000100000090 -S315400006A091D0200001000000010000000100000080 -S315400006B091D0200001000000010000000100000070 -S315400006C091D0200001000000010000000100000060 -S315400006D091D0200001000000010000000100000050 -S315400006E091D0200001000000010000000100000040 -S315400006F091D0200001000000010000000100000030 -S3154000070091D020000100000001000000010000001F -S3154000071091D020000100000001000000010000000F -S3154000072091D02000010000000100000001000000FF -S3154000073091D02000010000000100000001000000EF -S3154000074091D02000010000000100000001000000DF -S3154000075091D02000010000000100000001000000CF -S3154000076091D02000010000000100000001000000BF -S3154000077091D02000010000000100000001000000AF -S3154000078091D020000100000001000000010000009F -S3154000079091D020000100000001000000010000008F -S315400007A091D020000100000001000000010000007F -S315400007B091D020000100000001000000010000006F -S315400007C091D020000100000001000000010000005F -S315400007D091D020000100000001000000010000004F -S315400007E091D020000100000001000000010000003F -S315400007F091D020000100000001000000010000002F -S3154000080091D020000100000001000000010000001E -S3154000081091D020000100000001000000010000000E -S31540000820A14800002910006A81C52110010000007E -S31540000830A1480000108067DBA750000001000000BF -S3154000084091D02000010000000100000001000000DE -S31540000850A14800002910006A81C520F4010000006B -S3154000086091D02000010000000100000001000000BE -S3154000087091D02000010000000100000001000000AE -S3154000088091D020000100000001000000010000009E -S3154000089091D020000100000001000000010000008E -S315400008A091D020000100000001000000010000007E -S315400008B091D020000100000001000000010000006E -S315400008C091D020000100000001000000010000005E -S315400008D091D020000100000001000000010000004E -S315400008E091D020000100000001000000010000003E -S315400008F091D020000100000001000000010000002E -S3154000090091D020000100000001000000010000001D -S3154000091091D020000100000001000000010000000D -S3154000092091D02000010000000100000001000000FD -S3154000093091D02000010000000100000001000000ED -S3154000094091D02000010000000100000001000000DD -S3154000095091D02000010000000100000001000000CD -S3154000096091D02000010000000100000001000000BD -S3154000097091D02000010000000100000001000000AD -S3154000098091D020000100000001000000010000009D -S3154000099091D020000100000001000000010000008D -S315400009A091D020000100000001000000010000007D -S315400009B091D020000100000001000000010000006D -S315400009C091D020000100000001000000010000005D -S315400009D091D020000100000001000000010000004D -S315400009E091D020000100000001000000010000003D -S315400009F091D020000100000001000000010000002D -S31540000A0091D020000100000001000000010000001C -S31540000A1091D020000100000001000000010000000C -S31540000A2091D02000010000000100000001000000FC -S31540000A3091D02000010000000100000001000000EC -S31540000A4091D02000010000000100000001000000DC -S31540000A5091D02000010000000100000001000000CC -S31540000A6091D02000010000000100000001000000BC -S31540000A7091D02000010000000100000001000000AC -S31540000A8091D020000100000001000000010000009C -S31540000A9091D020000100000001000000010000008C -S31540000AA091D020000100000001000000010000007C -S31540000AB091D020000100000001000000010000006C -S31540000AC091D020000100000001000000010000005C -S31540000AD091D020000100000001000000010000004C -S31540000AE091D020000100000001000000010000003C -S31540000AF091D020000100000001000000010000002C -S31540000B0091D020000100000001000000010000001B -S31540000B1091D020000100000001000000010000000B -S31540000B2091D02000010000000100000001000000FB -S31540000B3091D02000010000000100000001000000EB -S31540000B4091D02000010000000100000001000000DB -S31540000B5091D02000010000000100000001000000CB -S31540000B6091D02000010000000100000001000000BB -S31540000B7091D02000010000000100000001000000AB -S31540000B8091D020000100000001000000010000009B -S31540000B9091D020000100000001000000010000008B -S31540000BA091D020000100000001000000010000007B -S31540000BB091D020000100000001000000010000006B -S31540000BC091D020000100000001000000010000005B -S31540000BD091D020000100000001000000010000004B -S31540000BE091D020000100000001000000010000003B -S31540000BF091D020000100000001000000010000002B -S31540000C0091D020000100000001000000010000001A -S31540000C1091D020000100000001000000010000000A -S31540000C2091D02000010000000100000001000000FA -S31540000C3091D02000010000000100000001000000EA -S31540000C4091D02000010000000100000001000000DA -S31540000C5091D02000010000000100000001000000CA -S31540000C6091D02000010000000100000001000000BA -S31540000C7091D02000010000000100000001000000AA -S31540000C8091D020000100000001000000010000009A -S31540000C9091D020000100000001000000010000008A -S31540000CA091D020000100000001000000010000007A -S31540000CB091D020000100000001000000010000006A -S31540000CC091D020000100000001000000010000005A -S31540000CD091D020000100000001000000010000004A -S31540000CE091D020000100000001000000010000003A -S31540000CF091D020000100000001000000010000002A -S31540000D0091D0200001000000010000000100000019 -S31540000D1091D0200001000000010000000100000009 -S31540000D2091D02000010000000100000001000000F9 -S31540000D3091D02000010000000100000001000000E9 -S31540000D4091D02000010000000100000001000000D9 -S31540000D5091D02000010000000100000001000000C9 -S31540000D6091D02000010000000100000001000000B9 -S31540000D7091D02000010000000100000001000000A9 -S31540000D8091D0200001000000010000000100000099 -S31540000D9091D0200001000000010000000100000089 -S31540000DA091D0200001000000010000000100000079 -S31540000DB091D0200001000000010000000100000069 -S31540000DC091D0200001000000010000000100000059 -S31540000DD091D0200001000000010000000100000049 -S31540000DE091D0200001000000010000000100000039 -S31540000DF091D0200001000000010000000100000029 -S31540000E0091D0200001000000010000000100000018 -S31540000E1091D0200001000000010000000100000008 -S31540000E2091D02000010000000100000001000000F8 -S31540000E3091D02000010000000100000001000000E8 -S31540000E4091D02000010000000100000001000000D8 -S31540000E5091D02000010000000100000001000000C8 -S31540000E6091D02000010000000100000001000000B8 -S31540000E7091D02000010000000100000001000000A8 -S31540000E8091D0200001000000010000000100000098 -S31540000E9091D0200001000000010000000100000088 -S31540000EA091D0200001000000010000000100000078 -S31540000EB091D0200001000000010000000100000068 -S31540000EC091D0200001000000010000000100000058 -S31540000ED091D0200001000000010000000100000048 -S31540000EE091D0200001000000010000000100000038 -S31540000EF091D0200001000000010000000100000028 -S31540000F0091D0200001000000010000000100000017 -S31540000F1091D0200001000000010000000100000007 -S31540000F2091D02000010000000100000001000000F7 -S31540000F3091D02000010000000100000001000000E7 -S31540000F4091D02000010000000100000001000000D7 -S31540000F5091D02000010000000100000001000000C7 -S31540000F6091D02000010000000100000001000000B7 -S31540000F7091D02000010000000100000001000000A7 -S31540000F8091D0200001000000010000000100000097 -S31540000F9091D0200001000000010000000100000087 -S31540000FA091D0200001000000010000000100000077 -S31540000FB091D0200001000000010000000100000067 -S31540000FC091D0200001000000010000000100000057 -S31540000FD091D0200001000000010000000100000047 -S31540000FE091D0200001000000010000000100000037 -S31540000FF091D0200001000000010000000100000027 -S315400010009DE3BFC0051001438410A0600710014452 -S315400010108610E188821000008620C00286A0E00883 -S3154000102036BFFFFFC038800311100144901221885B -S31540001030C02200004000666801000000400066686B -S31540001040010000004000681A010000001110006D08 -S31540001050901221B040006168010000004000694ED6 -S3154000106001000000400000430100000040006745C9 -S315400010700100000081C7E00881E800009DE3BF98B9 -S3154000108023100143C20C606080A0600012800015EE -S315400010902110008010800005C204200C9FC3400030 -S315400010A0C224200CC204200CDA00400080A3600059 -S315400010B012BFFFFB82006004030000008210600044 -S315400010C080A0600002800006821020011110006D91 -S315400010D06FFFFBCC9012214082102001C22C606031 -S315400010E081C7E00881E800009DE3BF9881C7E0081A -S315400010F081E800009DE3BF98030000008210600075 -S315400011001110006D1310014380A060009012214021 -S3154000111002800004921260646FFFFBBA0100000077 -S315400011201B100144C203618880A060000280000950 -S31540001130B0136188030000008210600080A0600048 -S3154000114002800004010000006FFFFBAE81E8000052 -S315400011500100000081C7E00881E800009DE3BF98D8 -S3154000116081C7E00881E8000081C3E0080100000073 -S315400011709DE3BF9840000007010000004000014683 -S31540001180010000004000000E81E800000100000060 -S315400011909DE3BF98400000290100000080A2200086 -S315400011A01280000503100080D80060109A102001BC -S315400011B0DA23201081C7E00891E820000310008060 -S315400011C0D80060109A102001DA23201481C3E00869 -S315400011D09010200003100080DA006010D0234000F9 -S315400011E081C3E0089010200003100080DA006010F0 -S315400011F0D023600881C3E0089010200003100080CF -S31540001200DA006010D023600481C3E008901020000B -S3154000121013100080921260149010200081C3E008E1 -S31540001220D0EA4020901020001310008092126014E3 -S3154000123081C3E008D0224000914440009132201CF6 -S3154000124081C3E008900A200F81C3E008D0820020C5 -S315400012509010200C81C3E008D08200408210000824 -S3154000126080A20009148000039022000990224001C8 -S3154000127081C3E00801000000932A60028210200129 -S31540001280C22200099810200080A3000A1680000A96 -S3154000129096102001832B2002DA02000180A0000D67 -S315400012A0826020009803200180A3000A06BFFFFA4F -S315400012B0960AC00180A2E00002BFFFF49810200009 -S315400012C081C3E008010000009DE3BF98C2062010DC -S315400012D08330601CA0100018A400600180A4A00107 -S315400012E00280006CB0103FFF7FFFFFD4010000007A -S315400012F0AA100008912A20047FFFFFBC9002200715 -S315400013007FFFFFD40100000003020000808A000135 -S315400013100280005C010000009A05600182102001F5 -S315400013208328400D11100080C2242010901220A066 -S31540001330921000157FFFFFD1941000120310008019 -S3154000134082106018A12D6002C0204010DA004010C3 -S31540001350A610000180A36009291001431480002BC8 -S31540001360AC1000017FFFFFAB0100000080A220000F -S3154000137012BFFFFD01000000B010200080A6001241 -S31540001380168000140100000010800005A21000160F -S3154000139080A600121680000F01000000832E200256 -S315400013A0D0044010D20440017FFFFFADB0062001BB -S315400013B080A2200104BFFFF7901020027FFFFF901C -S315400013C00100000080A6001206BFFFF6832E200211 -S315400013D0C205207C82006001C225207C7FFFFF92EF -S315400013E001000000C204C01082006001C224C01087 -S315400013F0DA04C01080A3600904BFFFDB111000802F -S31540001400108000049012205C111000809012205C25 -S31540001410921000157FFFFF9994100012832CA002B2 -S3154000142082004012A0004001DA05207C80A4000D15 -S3154000143002800004231001437FFFFF7190102003B8 -S31540001440C204607C80A060000480000601000000A9 -S31540001450C204607C80A400011680000580A560005F -S315400014607FFFFF679010200380A56000228000095F -S31540001470B010200091D0200010800006B01020004F -S315400014807FFFFF5F9010200110BFFFA59A05600106 -S3154000149081C7E00881E800009DE3BF98C20620109E -S315400014A0A01000188330601C80A0600002800009F4 -S315400014B0B0103FFF7FFFFF610100000080A22000C7 -S315400014C0128000040300003F821063FFC2242010F4 -S315400014D081C7E00881E800009DE3BF98C20620105E -S315400014E08330601CA400600180A4A00102800068D3 -S315400014F0B0103FFF7FFFFF5101000000AA10000817 -S31540001500912A20047FFFFF39900220077FFFFF5179 -S315400015100100000003020000808A0001028000589A -S315400015200100000011100080901220A092100015BA -S315400015307FFFFF5294100012031000808210601843 -S31540001540A12D6002C0204010DA004010A610000114 -S3154000155080A36009291001431480002BAC100001C0 -S315400015607FFFFF2C0100000080A2200012BFFFFD7C -S3154000157001000000B010200080A600121680001462 -S315400015800100000010800005A210001680A600127F -S315400015901680000F01000000832E2002D004401068 -S315400015A0D20440017FFFFF2EB006200180A2200119 -S315400015B004BFFFF7901020027FFFFF1101000000DB -S315400015C080A6001206BFFFF6832E2002C205207CAD -S315400015D082006001C225207C7FFFFF1301000000CE -S315400015E0C204C01082006001C224C010DA04C010D8 -S315400015F080A3600904BFFFDB111000801080000447 -S315400016009012205C111000809012205C9210001500 -S315400016107FFFFF1A94100012832CA0028200401212 -S31540001620A0004001DA05207C80A4000D0280000461 -S31540001630231001437FFFFEF290102003C204607C1A -S3154000164080A060000480000601000000C204607CA7 -S3154000165080A400011680000580A560007FFFFEE89B -S315400016609010200380A5600022800009B010200061 -S3154000167091D0200010800006B01020007FFFFEE0D1 -S315400016809010200110BFFFA91110008081C7E0080B -S3154000169081E800009DE3BF983120000092162200A9 -S315400016A09410200040003E589010200140003B62BC -S315400016B0901622009016230040003EBD921020084E -S315400016C0B016210040003A4F81E8000001000000BA -S315400016D09DE3BF9821200000921422009410200020 -S315400016E040003E499010200140003B539014220098 -S315400016F09210001840003EAE90142300B014210012 -S3154000170040003A4081E8000001000000000000006F -S315400017100000000000000000000000000000000083 -S315400017200000000000000000000000000000000073 -S315400017300000000000000000000000000000000063 -S315400017400000000000000000000000000000000053 -S315400017500000000000000000000000000000000043 -S315400017600000000000000000000000000000000033 -S315400017700000000000000000000000000000000023 -S315400017800000000000000000000000000000000013 -S315400017900000000000000000000000000000000003 -S315400017A000000000000000000000000000000000F3 -S315400017B000000000000000000000000000000000E3 -S315400017C000000000000000000000000000000000D3 -S315400017D000000000000000000000000000000000C3 -S315400017E000000000000000000000000000000000B3 -S315400017F000000000000000000000000000000000A3 -S315400018000000000000000000000000000000000092 -S315400018100000000000000000000000000000000082 -S315400018200000000000000000000000000000000072 -S315400018300000000000000000000000000000000062 -S315400018400000000000000000000000000000000052 -S315400018500000000000000000000000000000000042 -S315400018600000000000000000000000000000000032 -S315400018700000000000000000000000000000000022 -S315400018800000000000000000000000000000000012 -S315400018900000000000000000000000000000000002 -S315400018A000000000000000000000000000000000F2 -S315400018B000000000000000000000000000000000E2 -S315400018C000000000000000000000000000000000D2 -S315400018D000000000000000000000000000000000C2 -S315400018E000000000000000000000000000000000B2 -S315400018F000000000000000000000000000000000A2 -S315400019000000000000000000000000000000000091 -S315400019100000000000000000000000000000000081 -S315400019200000000000000000000000000000000071 -S315400019300000000000000000000000000000000061 -S315400019400000000000000000000000000000000051 -S315400019500000000000000000000000000000000041 -S315400019600000000000000000000000000000000031 -S315400019700000000000000000000000000000000021 -S315400019800000000000000000000000000000000011 -S315400019900000000000000000000000000000000001 -S315400019A000000000000000000000000000000000F1 -S315400019B000000000000000000000000000000000E1 -S315400019C000000000000000000000000000000000D1 -S315400019D000000000000000000000000000000000C1 -S315400019E000000000000000000000000000000000B1 -S315400019F000000000000000000000000000000000A1 -S31540001A000000000000000000000000000000000090 -S31540001A100000000000000000000000000000000080 -S31540001A200000000000000000000000000000000070 -S31540001A300000000000000000000000000000000060 -S31540001A400000000000000000000000000000000050 -S31540001A500000000000000000000000000000000040 -S31540001A600000000000000000000000000000000030 -S31540001A700000000000000000000000000000000020 -S31540001A800000000000000000000000000000000010 -S31540001A900000000000000000000000000000000000 -S31540001AA000000000000000000000000000000000F0 -S31540001AB000000000000000000000000000000000E0 -S31540001AC000000000000000000000000000000000D0 -S31540001AD000000000000000000000000000000000C0 -S31540001AE000000000000000000000000000000000B0 -S31540001AF000000000000000000000000000000000A0 -S31540001B00000000000000000000000000000000008F -S31540001B10000000000000000000000000000000007F -S31540001B20000000000000000000000000000000006F -S31540001B30000000000000000000000000000000005F -S31540001B40000000000000000000000000000000004F -S31540001B50000000000000000000000000000000003F -S31540001B60000000000000000000000000000000002F -S31540001B70000000000000000000000000000000001F -S31540001B80000000000000000000000000000000000F -S31540001B9000000000000000000000000000000000FF -S31540001BA000000000000000000000000000000000EF -S31540001BB000000000000000000000000000000000DF -S31540001BC000000000000000000000000000000000CF -S31540001BD000000000000000000000000000000000BF -S31540001BE000000000000000000000000000000000AF -S31540001BF0000000000000000000000000000000009F -S31540001C00000000000000000000000000000000008E -S31540001C10000000000000000000000000000000007E -S31540001C20000000000000000000000000000000006E -S31540001C30000000000000000000000000000000005E -S31540001C40000000000000000000000000000000004E -S31540001C50000000000000000000000000000000003E -S31540001C60000000000000000000000000000000002E -S31540001C70000000000000000000000000000000001E -S31540001C80000000000000000000000000000000000E -S31540001C9000000000000000000000000000000000FE -S31540001CA000000000000000000000000000000000EE -S31540001CB000000000000000000000000000000000DE -S31540001CC000000000000000000000000000000000CE -S31540001CD000000000000000000000000000000000BE -S31540001CE000000000000000000000000000000000AE -S31540001CF0000000000000000000000000000000009E -S31540001D00000000000000000000000000000000008D -S31540001D10000000000000000000000000000000007D -S31540001D20000000000000000000000000000000006D -S31540001D30000000000000000000000000000000005D -S31540001D40000000000000000000000000000000004D -S31540001D50000000000000000000000000000000003D -S31540001D60000000000000000000000000000000002D -S31540001D70000000000000000000000000000000001D -S31540001D80000000000000000000000000000000000D -S31540001D9000000000000000000000000000000000FD -S31540001DA000000000000000000000000000000000ED -S31540001DB000000000000000000000000000000000DD -S31540001DC000000000000000000000000000000000CD -S31540001DD000000000000000000000000000000000BD -S31540001DE000000000000000000000000000000000AD -S31540001DF0000000000000000000000000000000009D -S31540001E00000000000000000000000000000000008C -S31540001E10000000000000000000000000000000007C -S31540001E20000000000000000000000000000000006C -S31540001E30000000000000000000000000000000005C -S31540001E40000000000000000000000000000000004C -S31540001E50000000000000000000000000000000003C -S31540001E60000000000000000000000000000000002C -S31540001E70000000000000000000000000000000001C -S31540001E80000000000000000000000000000000000C -S31540001E9000000000000000000000000000000000FC -S31540001EA000000000000000000000000000000000EC -S31540001EB000000000000000000000000000000000DC -S31540001EC000000000000000000000000000000000CC -S31540001ED000000000000000000000000000000000BC -S31540001EE000000000000000000000000000000000AC -S31540001EF0000000000000000000000000000000009C -S31540001F00000000000000000000000000000000008B -S31540001F10000000000000000000000000000000007B -S31540001F20000000000000000000000000000000006B -S31540001F30000000000000000000000000000000005B -S31540001F40000000000000000000000000000000004B -S31540001F50000000000000000000000000000000003B -S31540001F60000000000000000000000000000000002B -S31540001F70000000000000000000000000000000001B -S31540001F80000000000000000000000000000000000B -S31540001F9000000000000000000000000000000000FB -S31540001FA000000000000000000000000000000000EB -S31540001FB000000000000000000000000000000000DB -S31540001FC000000000000000000000000000000000CB -S31540001FD000000000000000000000000000000000BB -S31540001FE000000000000000000000000000000000AB -S31540001FF0000000000000000000000000000000009B -S31540002000000000000000000000000000000000008A -S31540002010000000000000000000000000000000007A -S31540002020000000000000000000000000000000006A -S31540002030000000000000000000000000000000005A -S31540002040000000000000000000000000000000004A -S31540002050000000000000000000000000000000003A -S31540002060000000000000000000000000000000002A -S31540002070000000000000000000000000000000001A -S31540002080000000000000000000000000000000000A -S3154000209000000000000000000000000000000000FA -S315400020A000000000000000000000000000000000EA -S315400020B000000000000000000000000000000000DA -S315400020C000000000000000000000000000000000CA -S315400020D000000000000000000000000000000000BA -S315400020E000000000000000000000000000000000AA -S315400020F0000000000000000000000000000000009A -S315400021000000000000000000000000000000000089 -S315400021100000000000000000000000000000000079 -S315400021200000000000000000000000000000000069 -S315400021300000000000000000000000000000000059 -S315400021400000000000000000000000000000000049 -S315400021500000000000000000000000000000000039 -S315400021600000000000000000000000000000000029 -S315400021700000000000000000000000000000000019 -S315400021800000000000000000000000000000000009 -S3154000219000000000000000000000000000000000F9 -S315400021A000000000000000000000000000000000E9 -S315400021B000000000000000000000000000000000D9 -S315400021C000000000000000000000000000000000C9 -S315400021D000000000000000000000000000000000B9 -S315400021E000000000000000000000000000000000A9 -S315400021F00000000000000000000000000000000099 -S315400022000000000000000000000000000000000088 -S315400022100000000000000000000000000000000078 -S315400022200000000000000000000000000000000068 -S315400022300000000000000000000000000000000058 -S315400022400000000000000000000000000000000048 -S315400022500000000000000000000000000000000038 -S315400022600000000000000000000000000000000028 -S315400022700000000000000000000000000000000018 -S315400022800000000000000000000000000000000008 -S3154000229000000000000000000000000000000000F8 -S315400022A000000000000000000000000000000000E8 -S315400022B000000000000000000000000000000000D8 -S315400022C000000000000000000000000000000000C8 -S315400022D000000000000000000000000000000000B8 -S315400022E000000000000000000000000000000000A8 -S315400022F00000000000000000000000000000000098 -S315400023000000000000000000000000000000000087 -S315400023100000000000000000000000000000000077 -S315400023200000000000000000000000000000000067 -S315400023300000000000000000000000000000000057 -S315400023400000000000000000000000000000000047 -S315400023500000000000000000000000000000000037 -S315400023600000000000000000000000000000000027 -S315400023700000000000000000000000000000000017 -S315400023800000000000000000000000000000000007 -S3154000239000000000000000000000000000000000F7 -S315400023A000000000000000000000000000000000E7 -S315400023B000000000000000000000000000000000D7 -S315400023C000000000000000000000000000000000C7 -S315400023D000000000000000000000000000000000B7 -S315400023E000000000000000000000000000000000A7 -S315400023F00000000000000000000000000000000097 -S315400024000000000000000000000000000000000086 -S315400024100000000000000000000000000000000076 -S315400024200000000000000000000000000000000066 -S315400024300000000000000000000000000000000056 -S315400024400000000000000000000000000000000046 -S315400024500000000000000000000000000000000036 -S315400024600000000000000000000000000000000026 -S315400024700000000000000000000000000000000016 -S315400024800000000000000000000000000000000006 -S3154000249000000000000000000000000000000000F6 -S315400024A000000000000000000000000000000000E6 -S315400024B000000000000000000000000000000000D6 -S315400024C000000000000000000000000000000000C6 -S315400024D000000000000000000000000000000000B6 -S315400024E000000000000000000000000000000000A6 -S315400024F00000000000000000000000000000000096 -S315400025000000000000000000000000000000000085 -S315400025100000000000000000000000000000000075 -S315400025200000000000000000000000000000000065 -S315400025300000000000000000000000000000000055 -S315400025400000000000000000000000000000000045 -S315400025500000000000000000000000000000000035 -S315400025600000000000000000000000000000000025 -S315400025700000000000000000000000000000000015 -S315400025800000000000000000000000000000000005 -S3154000259000000000000000000000000000000000F5 -S315400025A000000000000000000000000000000000E5 -S315400025B000000000000000000000000000000000D5 -S315400025C000000000000000000000000000000000C5 -S315400025D000000000000000000000000000000000B5 -S315400025E000000000000000000000000000000000A5 -S315400025F00000000000000000000000000000000095 -S315400026000000000000000000000000000000000084 -S315400026100000000000000000000000000000000074 -S315400026200000000000000000000000000000000064 -S315400026300000000000000000000000000000000054 -S315400026400000000000000000000000000000000044 -S315400026500000000000000000000000000000000034 -S315400026600000000000000000000000000000000024 -S315400026700000000000000000000000000000000014 -S315400026800000000000000000000000000000000004 -S3154000269000000000000000000000000000000000F4 -S315400026A000000000000000000000000000000000E4 -S315400026B000000000000000000000000000000000D4 -S315400026C000000000000000000000000000000000C4 -S315400026D000000000000000000000000000000000B4 -S315400026E000000000000000000000000000000000A4 -S315400026F00000000000000000000000000000000094 -S315400027000000000000000000000000000000000083 -S315400027100000000000000000000000000000000073 -S315400027200000000000000000000000000000000063 -S315400027300000000000000000000000000000000053 -S315400027400000000000000000000000000000000043 -S315400027500000000000000000000000000000000033 -S315400027600000000000000000000000000000000023 -S315400027700000000000000000000000000000000013 -S315400027800000000000000000000000000000000003 -S3154000279000000000000000000000000000000000F3 -S315400027A000000000000000000000000000000000E3 -S315400027B000000000000000000000000000000000D3 -S315400027C000000000000000000000000000000000C3 -S315400027D000000000000000000000000000000000B3 -S315400027E000000000000000000000000000000000A3 -S315400027F00000000000000000000000000000000093 -S315400028000000000000000000000000000000000082 -S315400028100000000000000000000000000000000072 -S315400028200000000000000000000000000000000062 -S315400028300000000000000000000000000000000052 -S315400028400000000000000000000000000000000042 -S315400028500000000000000000000000000000000032 -S315400028600000000000000000000000000000000022 -S315400028700000000000000000000000000000000012 -S315400028800000000000000000000000000000000002 -S3154000289000000000000000000000000000000000F2 -S315400028A000000000000000000000000000000000E2 -S315400028B000000000000000000000000000000000D2 -S315400028C000000000000000000000000000000000C2 -S315400028D000000000000000000000000000000000B2 -S315400028E000000000000000000000000000000000A2 -S315400028F00000000000000000000000000000000092 -S315400029000000000000000000000000000000000081 -S315400029100000000000000000000000000000000071 -S315400029200000000000000000000000000000000061 -S315400029300000000000000000000000000000000051 -S315400029400000000000000000000000000000000041 -S315400029500000000000000000000000000000000031 -S315400029600000000000000000000000000000000021 -S315400029700000000000000000000000000000000011 -S315400029800000000000000000000000000000000001 -S3154000299000000000000000000000000000000000F1 -S315400029A000000000000000000000000000000000E1 -S315400029B000000000000000000000000000000000D1 -S315400029C000000000000000000000000000000000C1 -S315400029D000000000000000000000000000000000B1 -S315400029E000000000000000000000000000000000A1 -S315400029F00000000000000000000000000000000091 -S31540002A000000000000000000000000000000000080 -S31540002A100000000000000000000000000000000070 -S31540002A200000000000000000000000000000000060 -S31540002A300000000000000000000000000000000050 -S31540002A400000000000000000000000000000000040 -S31540002A500000000000000000000000000000000030 -S31540002A600000000000000000000000000000000020 -S31540002A700000000000000000000000000000000010 -S31540002A800000000000000000000000000000000000 -S31540002A9000000000000000000000000000000000F0 -S31540002AA000000000000000000000000000000000E0 -S31540002AB000000000000000000000000000000000D0 -S31540002AC000000000000000000000000000000000C0 -S31540002AD000000000000000000000000000000000B0 -S31540002AE000000000000000000000000000000000A0 -S31540002AF00000000000000000000000000000000090 -S31540002B00000000000000000000000000000000007F -S31540002B10000000000000000000000000000000006F -S31540002B20000000000000000000000000000000005F -S31540002B30000000000000000000000000000000004F -S31540002B40000000000000000000000000000000003F -S31540002B50000000000000000000000000000000002F -S31540002B60000000000000000000000000000000001F -S31540002B70000000000000000000000000000000000F -S31540002B8000000000000000000000000000000000FF -S31540002B9000000000000000000000000000000000EF -S31540002BA000000000000000000000000000000000DF -S31540002BB000000000000000000000000000000000CF -S31540002BC000000000000000000000000000000000BF -S31540002BD000000000000000000000000000000000AF -S31540002BE0000000000000000000000000000000009F -S31540002BF0000000000000000000000000000000008F -S31540002C00000000000000000000000000000000007E -S31540002C10000000000000000000000000000000006E -S31540002C20000000000000000000000000000000005E -S31540002C30000000000000000000000000000000004E -S31540002C40000000000000000000000000000000003E -S31540002C50000000000000000000000000000000002E -S31540002C60000000000000000000000000000000001E -S31540002C70000000000000000000000000000000000E -S31540002C8000000000000000000000000000000000FE -S31540002C9000000000000000000000000000000000EE -S31540002CA000000000000000000000000000000000DE -S31540002CB000000000000000000000000000000000CE -S31540002CC000000000000000000000000000000000BE -S31540002CD000000000000000000000000000000000AE -S31540002CE0000000000000000000000000000000009E -S31540002CF0000000000000000000000000000000008E -S31540002D00000000000000000000000000000000007D -S31540002D10000000000000000000000000000000006D -S31540002D20000000000000000000000000000000005D -S31540002D30000000000000000000000000000000004D -S31540002D40000000000000000000000000000000003D -S31540002D50000000000000000000000000000000002D -S31540002D60000000000000000000000000000000001D -S31540002D70000000000000000000000000000000000D -S31540002D8000000000000000000000000000000000FD -S31540002D9000000000000000000000000000000000ED -S31540002DA000000000000000000000000000000000DD -S31540002DB000000000000000000000000000000000CD -S31540002DC000000000000000000000000000000000BD -S31540002DD000000000000000000000000000000000AD -S31540002DE0000000000000000000000000000000009D -S31540002DF0000000000000000000000000000000008D -S31540002E00000000000000000000000000000000007C -S31540002E10000000000000000000000000000000006C -S31540002E20000000000000000000000000000000005C -S31540002E30000000000000000000000000000000004C -S31540002E40000000000000000000000000000000003C -S31540002E50000000000000000000000000000000002C -S31540002E60000000000000000000000000000000001C -S31540002E70000000000000000000000000000000000C -S31540002E8000000000000000000000000000000000FC -S31540002E9000000000000000000000000000000000EC -S31540002EA000000000000000000000000000000000DC -S31540002EB000000000000000000000000000000000CC -S31540002EC000000000000000000000000000000000BC -S31540002ED000000000000000000000000000000000AC -S31540002EE0000000000000000000000000000000009C -S31540002EF0000000000000000000000000000000008C -S31540002F00000000000000000000000000000000007B -S31540002F10000000000000000000000000000000006B -S31540002F20000000000000000000000000000000005B -S31540002F30000000000000000000000000000000004B -S31540002F40000000000000000000000000000000003B -S31540002F50000000000000000000000000000000002B -S31540002F60000000000000000000000000000000001B -S31540002F70000000000000000000000000000000000B -S31540002F8000000000000000000000000000000000FB -S31540002F9000000000000000000000000000000000EB -S31540002FA000000000000000000000000000000000DB -S31540002FB000000000000000000000000000000000CB -S31540002FC000000000000000000000000000000000BB -S31540002FD000000000000000000000000000000000AB -S31540002FE0000000000000000000000000000000009B -S31540002FF0000000000000000000000000000000008B -S31540003000000000000000000000000000000000007A -S31540003010000000000000000000000000000000006A -S31540003020000000000000000000000000000000005A -S31540003030000000000000000000000000000000004A -S31540003040000000000000000000000000000000003A -S31540003050000000000000000000000000000000002A -S31540003060000000000000000000000000000000001A -S31540003070000000000000000000000000000000000A -S3154000308000000000000000000000000000000000FA -S3154000309000000000000000000000000000000000EA -S315400030A000000000000000000000000000000000DA -S315400030B000000000000000000000000000000000CA -S315400030C000000000000000000000000000000000BA -S315400030D000000000000000000000000000000000AA -S315400030E0000000000000000000000000000000009A -S315400030F0000000000000000000000000000000008A -S315400031000000000000000000000000000000000079 -S315400031100000000000000000000000000000000069 -S315400031200000000000000000000000000000000059 -S315400031300000000000000000000000000000000049 -S315400031400000000000000000000000000000000039 -S315400031500000000000000000000000000000000029 -S315400031600000000000000000000000000000000019 -S315400031700000000000000000000000000000000009 -S3154000318000000000000000000000000000000000F9 -S3154000319000000000000000000000000000000000E9 -S315400031A000000000000000000000000000000000D9 -S315400031B000000000000000000000000000000000C9 -S315400031C000000000000000000000000000000000B9 -S315400031D000000000000000000000000000000000A9 -S315400031E00000000000000000000000000000000099 -S315400031F00000000000000000000000000000000089 -S315400032000000000000000000000000000000000078 -S315400032100000000000000000000000000000000068 -S315400032200000000000000000000000000000000058 -S315400032300000000000000000000000000000000048 -S315400032400000000000000000000000000000000038 -S315400032500000000000000000000000000000000028 -S315400032600000000000000000000000000000000018 -S315400032700000000000000000000000000000000008 -S3154000328000000000000000000000000000000000F8 -S3154000329000000000000000000000000000000000E8 -S315400032A000000000000000000000000000000000D8 -S315400032B000000000000000000000000000000000C8 -S315400032C000000000000000000000000000000000B8 -S315400032D000000000000000000000000000000000A8 -S315400032E00000000000000000000000000000000098 -S315400032F00000000000000000000000000000000088 -S315400033000000000000000000000000000000000077 -S315400033100000000000000000000000000000000067 -S315400033200000000000000000000000000000000057 -S315400033300000000000000000000000000000000047 -S315400033400000000000000000000000000000000037 -S315400033500000000000000000000000000000000027 -S315400033600000000000000000000000000000000017 -S315400033700000000000000000000000000000000007 -S3154000338000000000000000000000000000000000F7 -S3154000339000000000000000000000000000000000E7 -S315400033A000000000000000000000000000000000D7 -S315400033B000000000000000000000000000000000C7 -S315400033C000000000000000000000000000000000B7 -S315400033D000000000000000000000000000000000A7 -S315400033E00000000000000000000000000000000097 -S315400033F00000000000000000000000000000000087 -S315400034000000000000000000000000000000000076 -S315400034100000000000000000000000000000000066 -S315400034200000000000000000000000000000000056 -S315400034300000000000000000000000000000000046 -S315400034400000000000000000000000000000000036 -S315400034500000000000000000000000000000000026 -S315400034600000000000000000000000000000000016 -S315400034700000000000000000000000000000000006 -S3154000348000000000000000000000000000000000F6 -S3154000349000000000000000000000000000000000E6 -S315400034A000000000000000000000000000000000D6 -S315400034B000000000000000000000000000000000C6 -S315400034C000000000000000000000000000000000B6 -S315400034D000000000000000000000000000000000A6 -S315400034E00000000000000000000000000000000096 -S315400034F00000000000000000000000000000000086 -S315400035000000000000000000000000000000000075 -S315400035100000000000000000000000000000000065 -S315400035200000000000000000000000000000000055 -S315400035300000000000000000000000000000000045 -S315400035400000000000000000000000000000000035 -S315400035500000000000000000000000000000000025 -S315400035600000000000000000000000000000000015 -S315400035700000000000000000000000000000000005 -S3154000358000000000000000000000000000000000F5 -S3154000359000000000000000000000000000000000E5 -S315400035A000000000000000000000000000000000D5 -S315400035B000000000000000000000000000000000C5 -S315400035C000000000000000000000000000000000B5 -S315400035D000000000000000000000000000000000A5 -S315400035E00000000000000000000000000000000095 -S315400035F00000000000000000000000000000000085 -S315400036000000000000000000000000000000000074 -S315400036100000000000000000000000000000000064 -S315400036200000000000000000000000000000000054 -S315400036300000000000000000000000000000000044 -S315400036400000000000000000000000000000000034 -S315400036500000000000000000000000000000000024 -S315400036600000000000000000000000000000000014 -S315400036700000000000000000000000000000000004 -S3154000368000000000000000000000000000000000F4 -S3154000369000000000000000000000000000000000E4 -S315400036A000000000000000000000000000000000D4 -S315400036B000000000000000000000000000000000C4 -S315400036C000000000000000000000000000000000B4 -S315400036D000000000000000000000000000000000A4 -S315400036E00000000000000000000000000000000094 -S315400036F00000000000000000000000000000000084 -S315400037000000000000000000000000000000000073 -S315400037100000000000000000000000000000000063 -S315400037200000000000000000000000000000000053 -S315400037300000000000000000000000000000000043 -S315400037400000000000000000000000000000000033 -S315400037500000000000000000000000000000000023 -S315400037600000000000000000000000000000000013 -S315400037700000000000000000000000000000000003 -S3154000378000000000000000000000000000000000F3 -S3154000379000000000000000000000000000000000E3 -S315400037A000000000000000000000000000000000D3 -S315400037B000000000000000000000000000000000C3 -S315400037C000000000000000000000000000000000B3 -S315400037D000000000000000000000000000000000A3 -S315400037E00000000000000000000000000000000093 -S315400037F00000000000000000000000000000000083 -S315400038000000000000000000000000000000000072 -S315400038100000000000000000000000000000000062 -S315400038200000000000000000000000000000000052 -S315400038300000000000000000000000000000000042 -S315400038400000000000000000000000000000000032 -S315400038500000000000000000000000000000000022 -S315400038600000000000000000000000000000000012 -S315400038700000000000000000000000000000000002 -S3154000388000000000000000000000000000000000F2 -S3154000389000000000000000000000000000000000E2 -S315400038A000000000000000000000000000000000D2 -S315400038B000000000000000000000000000000000C2 -S315400038C000000000000000000000000000000000B2 -S315400038D000000000000000000000000000000000A2 -S315400038E00000000000000000000000000000000092 -S315400038F00000000000000000000000000000000082 -S315400039000000000000000000000000000000000071 -S315400039100000000000000000000000000000000061 -S315400039200000000000000000000000000000000051 -S315400039300000000000000000000000000000000041 -S315400039400000000000000000000000000000000031 -S315400039500000000000000000000000000000000021 -S315400039600000000000000000000000000000000011 -S315400039700000000000000000000000000000000001 -S3154000398000000000000000000000000000000000F1 -S3154000399000000000000000000000000000000000E1 -S315400039A000000000000000000000000000000000D1 -S315400039B000000000000000000000000000000000C1 -S315400039C000000000000000000000000000000000B1 -S315400039D000000000000000000000000000000000A1 -S315400039E00000000000000000000000000000000091 -S315400039F00000000000000000000000000000000081 -S31540003A000000000000000000000000000000000070 -S31540003A100000000000000000000000000000000060 -S31540003A200000000000000000000000000000000050 -S31540003A300000000000000000000000000000000040 -S31540003A400000000000000000000000000000000030 -S31540003A500000000000000000000000000000000020 -S31540003A600000000000000000000000000000000010 -S31540003A700000000000000000000000000000000000 -S31540003A8000000000000000000000000000000000F0 -S31540003A9000000000000000000000000000000000E0 -S31540003AA000000000000000000000000000000000D0 -S31540003AB000000000000000000000000000000000C0 -S31540003AC000000000000000000000000000000000B0 -S31540003AD000000000000000000000000000000000A0 -S31540003AE00000000000000000000000000000000090 -S31540003AF00000000000000000000000000000000080 -S31540003B00000000000000000000000000000000006F -S31540003B10000000000000000000000000000000005F -S31540003B20000000000000000000000000000000004F -S31540003B30000000000000000000000000000000003F -S31540003B40000000000000000000000000000000002F -S31540003B50000000000000000000000000000000001F -S31540003B60000000000000000000000000000000000F -S31540003B7000000000000000000000000000000000FF -S31540003B8000000000000000000000000000000000EF -S31540003B9000000000000000000000000000000000DF -S31540003BA000000000000000000000000000000000CF -S31540003BB000000000000000000000000000000000BF -S31540003BC000000000000000000000000000000000AF -S31540003BD0000000000000000000000000000000009F -S31540003BE0000000000000000000000000000000008F -S31540003BF0000000000000000000000000000000007F -S31540003C00000000000000000000000000000000006E -S31540003C10000000000000000000000000000000005E -S31540003C20000000000000000000000000000000004E -S31540003C30000000000000000000000000000000003E -S31540003C40000000000000000000000000000000002E -S31540003C50000000000000000000000000000000001E -S31540003C60000000000000000000000000000000000E -S31540003C7000000000000000000000000000000000FE -S31540003C8000000000000000000000000000000000EE -S31540003C9000000000000000000000000000000000DE -S31540003CA000000000000000000000000000000000CE -S31540003CB000000000000000000000000000000000BE -S31540003CC000000000000000000000000000000000AE -S31540003CD0000000000000000000000000000000009E -S31540003CE0000000000000000000000000000000008E -S31540003CF0000000000000000000000000000000007E -S31540003D00000000000000000000000000000000006D -S31540003D10000000000000000000000000000000005D -S31540003D20000000000000000000000000000000004D -S31540003D30000000000000000000000000000000003D -S31540003D40000000000000000000000000000000002D -S31540003D50000000000000000000000000000000001D -S31540003D60000000000000000000000000000000000D -S31540003D7000000000000000000000000000000000FD -S31540003D8000000000000000000000000000000000ED -S31540003D9000000000000000000000000000000000DD -S31540003DA000000000000000000000000000000000CD -S31540003DB000000000000000000000000000000000BD -S31540003DC000000000000000000000000000000000AD -S31540003DD0000000000000000000000000000000009D -S31540003DE0000000000000000000000000000000008D -S31540003DF0000000000000000000000000000000007D -S31540003E00000000000000000000000000000000006C -S31540003E10000000000000000000000000000000005C -S31540003E20000000000000000000000000000000004C -S31540003E30000000000000000000000000000000003C -S31540003E40000000000000000000000000000000002C -S31540003E50000000000000000000000000000000001C -S31540003E60000000000000000000000000000000000C -S31540003E7000000000000000000000000000000000FC -S31540003E8000000000000000000000000000000000EC -S31540003E9000000000000000000000000000000000DC -S31540003EA000000000000000000000000000000000CC -S31540003EB000000000000000000000000000000000BC -S31540003EC000000000000000000000000000000000AC -S31540003ED0000000000000000000000000000000009C -S31540003EE0000000000000000000000000000000008C -S31540003EF0000000000000000000000000000000007C -S31540003F00000000000000000000000000000000006B -S31540003F10000000000000000000000000000000005B -S31540003F20000000000000000000000000000000004B -S31540003F30000000000000000000000000000000003B -S31540003F40000000000000000000000000000000002B -S31540003F50000000000000000000000000000000001B -S31540003F60000000000000000000000000000000000B -S31540003F7000000000000000000000000000000000FB -S31540003F8000000000000000000000000000000000EB -S31540003F9000000000000000000000000000000000DB -S31540003FA000000000000000000000000000000000CB -S31540003FB000000000000000000000000000000000BB -S31540003FC000000000000000000000000000000000AB -S31540003FD0000000000000000000000000000000009B -S31540003FE0000000000000000000000000000000008B -S31540003FF0000000000000000000000000000000007B -S31540004000000000000000000000000000000000006A -S31540004010000000000000000000000000000000005A -S31540004020000000000000000000000000000000004A -S31540004030000000000000000000000000000000003A -S31540004040000000000000000000000000000000002A -S31540004050000000000000000000000000000000001A -S31540004060000000000000000000000000000000000A -S3154000407000000000000000000000000000000000FA -S3154000408000000000000000000000000000000000EA -S3154000409000000000000000000000000000000000DA -S315400040A000000000000000000000000000000000CA -S315400040B000000000000000000000000000000000BA -S315400040C000000000000000000000000000000000AA -S315400040D0000000000000000000000000000000009A -S315400040E0000000000000000000000000000000008A -S315400040F0000000000000000000000000000000007A -S315400041000000000000000000000000000000000069 -S315400041100000000000000000000000000000000059 -S315400041200000000000000000000000000000000049 -S315400041300000000000000000000000000000000039 -S315400041400000000000000000000000000000000029 -S315400041500000000000000000000000000000000019 -S315400041600000000000000000000000000000000009 -S3154000417000000000000000000000000000000000F9 -S3154000418000000000000000000000000000000000E9 -S3154000419000000000000000000000000000000000D9 -S315400041A000000000000000000000000000000000C9 -S315400041B000000000000000000000000000000000B9 -S315400041C000000000000000000000000000000000A9 -S315400041D00000000000000000000000000000000099 -S315400041E00000000000000000000000000000000089 -S315400041F00000000000000000000000000000000079 -S315400042000000000000000000000000000000000068 -S315400042100000000000000000000000000000000058 -S315400042200000000000000000000000000000000048 -S315400042300000000000000000000000000000000038 -S315400042400000000000000000000000000000000028 -S315400042500000000000000000000000000000000018 -S315400042600000000000000000000000000000000008 -S3154000427000000000000000000000000000000000F8 -S3154000428000000000000000000000000000000000E8 -S3154000429000000000000000000000000000000000D8 -S315400042A000000000000000000000000000000000C8 -S315400042B000000000000000000000000000000000B8 -S315400042C000000000000000000000000000000000A8 -S315400042D00000000000000000000000000000000098 -S315400042E00000000000000000000000000000000088 -S315400042F00000000000000000000000000000000078 -S315400043000000000000000000000000000000000067 -S315400043100000000000000000000000000000000057 -S315400043200000000000000000000000000000000047 -S315400043300000000000000000000000000000000037 -S315400043400000000000000000000000000000000027 -S315400043500000000000000000000000000000000017 -S315400043600000000000000000000000000000000007 -S3154000437000000000000000000000000000000000F7 -S3154000438000000000000000000000000000000000E7 -S3154000439000000000000000000000000000000000D7 -S315400043A000000000000000000000000000000000C7 -S315400043B000000000000000000000000000000000B7 -S315400043C000000000000000000000000000000000A7 -S315400043D00000000000000000000000000000000097 -S315400043E00000000000000000000000000000000087 -S315400043F00000000000000000000000000000000077 -S315400044000000000000000000000000000000000066 -S315400044100000000000000000000000000000000056 -S315400044200000000000000000000000000000000046 -S315400044300000000000000000000000000000000036 -S315400044400000000000000000000000000000000026 -S315400044500000000000000000000000000000000016 -S315400044600000000000000000000000000000000006 -S3154000447000000000000000000000000000000000F6 -S3154000448000000000000000000000000000000000E6 -S3154000449000000000000000000000000000000000D6 -S315400044A000000000000000000000000000000000C6 -S315400044B000000000000000000000000000000000B6 -S315400044C000000000000000000000000000000000A6 -S315400044D00000000000000000000000000000000096 -S315400044E00000000000000000000000000000000086 -S315400044F00000000000000000000000000000000076 -S315400045000000000000000000000000000000000065 -S315400045100000000000000000000000000000000055 -S315400045200000000000000000000000000000000045 -S315400045300000000000000000000000000000000035 -S315400045400000000000000000000000000000000025 -S315400045500000000000000000000000000000000015 -S315400045600000000000000000000000000000000005 -S3154000457000000000000000000000000000000000F5 -S3154000458000000000000000000000000000000000E5 -S3154000459000000000000000000000000000000000D5 -S315400045A000000000000000000000000000000000C5 -S315400045B000000000000000000000000000000000B5 -S315400045C000000000000000000000000000000000A5 -S315400045D00000000000000000000000000000000095 -S315400045E00000000000000000000000000000000085 -S315400045F00000000000000000000000000000000075 -S315400046000000000000000000000000000000000064 -S315400046100000000000000000000000000000000054 -S315400046200000000000000000000000000000000044 -S315400046300000000000000000000000000000000034 -S315400046400000000000000000000000000000000024 -S315400046500000000000000000000000000000000014 -S315400046600000000000000000000000000000000004 -S3154000467000000000000000000000000000000000F4 -S3154000468000000000000000000000000000000000E4 -S3154000469000000000000000000000000000000000D4 -S315400046A000000000000000000000000000000000C4 -S315400046B000000000000000000000000000000000B4 -S315400046C000000000000000000000000000000000A4 -S315400046D00000000000000000000000000000000094 -S315400046E00000000000000000000000000000000084 -S315400046F00000000000000000000000000000000074 -S315400047000000000000000000000000000000000063 -S315400047100000000000000000000000000000000053 -S315400047200000000000000000000000000000000043 -S315400047300000000000000000000000000000000033 -S315400047400000000000000000000000000000000023 -S315400047500000000000000000000000000000000013 -S315400047600000000000000000000000000000000003 -S3154000477000000000000000000000000000000000F3 -S3154000478000000000000000000000000000000000E3 -S3154000479000000000000000000000000000000000D3 -S315400047A000000000000000000000000000000000C3 -S315400047B000000000000000000000000000000000B3 -S315400047C000000000000000000000000000000000A3 -S315400047D00000000000000000000000000000000093 -S315400047E00000000000000000000000000000000083 -S315400047F00000000000000000000000000000000073 -S315400048000000000000000000000000000000000062 -S315400048100000000000000000000000000000000052 -S315400048200000000000000000000000000000000042 -S315400048300000000000000000000000000000000032 -S315400048400000000000000000000000000000000022 -S315400048500000000000000000000000000000000012 -S315400048600000000000000000000000000000000002 -S3154000487000000000000000000000000000000000F2 -S3154000488000000000000000000000000000000000E2 -S3154000489000000000000000000000000000000000D2 -S315400048A000000000000000000000000000000000C2 -S315400048B000000000000000000000000000000000B2 -S315400048C000000000000000000000000000000000A2 -S315400048D00000000000000000000000000000000092 -S315400048E00000000000000000000000000000000082 -S315400048F00000000000000000000000000000000072 -S315400049000000000000000000000000000000000061 -S315400049100000000000000000000000000000000051 -S315400049200000000000000000000000000000000041 -S315400049300000000000000000000000000000000031 -S315400049400000000000000000000000000000000021 -S315400049500000000000000000000000000000000011 -S315400049600000000000000000000000000000000001 -S3154000497000000000000000000000000000000000F1 -S3154000498000000000000000000000000000000000E1 -S3154000499000000000000000000000000000000000D1 -S315400049A000000000000000000000000000000000C1 -S315400049B000000000000000000000000000000000B1 -S315400049C000000000000000000000000000000000A1 -S315400049D00000000000000000000000000000000091 -S315400049E00000000000000000000000000000000081 -S315400049F00000000000000000000000000000000071 -S31540004A000000000000000000000000000000000060 -S31540004A100000000000000000000000000000000050 -S31540004A200000000000000000000000000000000040 -S31540004A300000000000000000000000000000000030 -S31540004A400000000000000000000000000000000020 -S31540004A500000000000000000000000000000000010 -S31540004A600000000000000000000000000000000000 -S31540004A7000000000000000000000000000000000F0 -S31540004A8000000000000000000000000000000000E0 -S31540004A9000000000000000000000000000000000D0 -S31540004AA000000000000000000000000000000000C0 -S31540004AB000000000000000000000000000000000B0 -S31540004AC000000000000000000000000000000000A0 -S31540004AD00000000000000000000000000000000090 -S31540004AE00000000000000000000000000000000080 -S31540004AF00000000000000000000000000000000070 -S31540004B00000000000000000000000000000000005F -S31540004B10000000000000000000000000000000004F -S31540004B20000000000000000000000000000000003F -S31540004B30000000000000000000000000000000002F -S31540004B40000000000000000000000000000000001F -S31540004B50000000000000000000000000000000000F -S31540004B6000000000000000000000000000000000FF -S31540004B7000000000000000000000000000000000EF -S31540004B8000000000000000000000000000000000DF -S31540004B9000000000000000000000000000000000CF -S31540004BA000000000000000000000000000000000BF -S31540004BB000000000000000000000000000000000AF -S31540004BC0000000000000000000000000000000009F -S31540004BD0000000000000000000000000000000008F -S31540004BE0000000000000000000000000000000007F -S31540004BF0000000000000000000000000000000006F -S31540004C00000000000000000000000000000000005E -S31540004C10000000000000000000000000000000004E -S31540004C20000000000000000000000000000000003E -S31540004C30000000000000000000000000000000002E -S31540004C40000000000000000000000000000000001E -S31540004C50000000000000000000000000000000000E -S31540004C6000000000000000000000000000000000FE -S31540004C7000000000000000000000000000000000EE -S31540004C8000000000000000000000000000000000DE -S31540004C9000000000000000000000000000000000CE -S31540004CA000000000000000000000000000000000BE -S31540004CB000000000000000000000000000000000AE -S31540004CC0000000000000000000000000000000009E -S31540004CD0000000000000000000000000000000008E -S31540004CE0000000000000000000000000000000007E -S31540004CF0000000000000000000000000000000006E -S31540004D00000000000000000000000000000000005D -S31540004D10000000000000000000000000000000004D -S31540004D20000000000000000000000000000000003D -S31540004D30000000000000000000000000000000002D -S31540004D40000000000000000000000000000000001D -S31540004D50000000000000000000000000000000000D -S31540004D6000000000000000000000000000000000FD -S31540004D7000000000000000000000000000000000ED -S31540004D8000000000000000000000000000000000DD -S31540004D9000000000000000000000000000000000CD -S31540004DA000000000000000000000000000000000BD -S31540004DB000000000000000000000000000000000AD -S31540004DC0000000000000000000000000000000009D -S31540004DD0000000000000000000000000000000008D -S31540004DE0000000000000000000000000000000007D -S31540004DF0000000000000000000000000000000006D -S31540004E00000000000000000000000000000000005C -S31540004E10000000000000000000000000000000004C -S31540004E20000000000000000000000000000000003C -S31540004E30000000000000000000000000000000002C -S31540004E40000000000000000000000000000000001C -S31540004E50000000000000000000000000000000000C -S31540004E6000000000000000000000000000000000FC -S31540004E7000000000000000000000000000000000EC -S31540004E8000000000000000000000000000000000DC -S31540004E9000000000000000000000000000000000CC -S31540004EA000000000000000000000000000000000BC -S31540004EB000000000000000000000000000000000AC -S31540004EC0000000000000000000000000000000009C -S31540004ED0000000000000000000000000000000008C -S31540004EE0000000000000000000000000000000007C -S31540004EF0000000000000000000000000000000006C -S31540004F00000000000000000000000000000000005B -S31540004F10000000000000000000000000000000004B -S31540004F20000000000000000000000000000000003B -S31540004F30000000000000000000000000000000002B -S31540004F40000000000000000000000000000000001B -S31540004F50000000000000000000000000000000000B -S31540004F6000000000000000000000000000000000FB -S31540004F7000000000000000000000000000000000EB -S31540004F8000000000000000000000000000000000DB -S31540004F9000000000000000000000000000000000CB -S31540004FA000000000000000000000000000000000BB -S31540004FB000000000000000000000000000000000AB -S31540004FC0000000000000000000000000000000009B -S31540004FD0000000000000000000000000000000008B -S31540004FE0000000000000000000000000000000007B -S31540004FF0000000000000000000000000000000006B -S31540005000000000000000000000000000000000005A -S31540005010000000000000000000000000000000004A -S31540005020000000000000000000000000000000003A -S31540005030000000000000000000000000000000002A -S31540005040000000000000000000000000000000001A -S31540005050000000000000000000000000000000000A -S3154000506000000000000000000000000000000000FA -S3154000507000000000000000000000000000000000EA -S3154000508000000000000000000000000000000000DA -S3154000509000000000000000000000000000000000CA -S315400050A000000000000000000000000000000000BA -S315400050B000000000000000000000000000000000AA -S315400050C0000000000000000000000000000000009A -S315400050D0000000000000000000000000000000008A -S315400050E0000000000000000000000000000000007A -S315400050F0000000000000000000000000000000006A -S315400051000000000000000000000000000000000059 -S315400051100000000000000000000000000000000049 -S315400051200000000000000000000000000000000039 -S315400051300000000000000000000000000000000029 -S315400051400000000000000000000000000000000019 -S315400051500000000000000000000000000000000009 -S3154000516000000000000000000000000000000000F9 -S3154000517000000000000000000000000000000000E9 -S3154000518000000000000000000000000000000000D9 -S3154000519000000000000000000000000000000000C9 -S315400051A000000000000000000000000000000000B9 -S315400051B000000000000000000000000000000000A9 -S315400051C00000000000000000000000000000000099 -S315400051D00000000000000000000000000000000089 -S315400051E00000000000000000000000000000000079 -S315400051F00000000000000000000000000000000069 -S315400052000000000000000000000000000000000058 -S315400052100000000000000000000000000000000048 -S315400052200000000000000000000000000000000038 -S315400052300000000000000000000000000000000028 -S315400052400000000000000000000000000000000018 -S315400052500000000000000000000000000000000008 -S3154000526000000000000000000000000000000000F8 -S3154000527000000000000000000000000000000000E8 -S3154000528000000000000000000000000000000000D8 -S3154000529000000000000000000000000000000000C8 -S315400052A000000000000000000000000000000000B8 -S315400052B000000000000000000000000000000000A8 -S315400052C00000000000000000000000000000000098 -S315400052D00000000000000000000000000000000088 -S315400052E00000000000000000000000000000000078 -S315400052F00000000000000000000000000000000068 -S315400053000000000000000000000000000000000057 -S315400053100000000000000000000000000000000047 -S315400053200000000000000000000000000000000037 -S315400053300000000000000000000000000000000027 -S315400053400000000000000000000000000000000017 -S315400053500000000000000000000000000000000007 -S3154000536000000000000000000000000000000000F7 -S3154000537000000000000000000000000000000000E7 -S3154000538000000000000000000000000000000000D7 -S3154000539000000000000000000000000000000000C7 -S315400053A000000000000000000000000000000000B7 -S315400053B000000000000000000000000000000000A7 -S315400053C00000000000000000000000000000000097 -S315400053D00000000000000000000000000000000087 -S315400053E00000000000000000000000000000000077 -S315400053F00000000000000000000000000000000067 -S315400054000000000000000000000000000000000056 -S315400054100000000000000000000000000000000046 -S315400054200000000000000000000000000000000036 -S315400054300000000000000000000000000000000026 -S315400054400000000000000000000000000000000016 -S315400054500000000000000000000000000000000006 -S3154000546000000000000000000000000000000000F6 -S3154000547000000000000000000000000000000000E6 -S3154000548000000000000000000000000000000000D6 -S3154000549000000000000000000000000000000000C6 -S315400054A000000000000000000000000000000000B6 -S315400054B000000000000000000000000000000000A6 -S315400054C00000000000000000000000000000000096 -S315400054D00000000000000000000000000000000086 -S315400054E00000000000000000000000000000000076 -S315400054F00000000000000000000000000000000066 -S315400055000000000000000000000000000000000055 -S315400055100000000000000000000000000000000045 -S315400055200000000000000000000000000000000035 -S315400055300000000000000000000000000000000025 -S315400055400000000000000000000000000000000015 -S315400055500000000000000000000000000000000005 -S3154000556000000000000000000000000000000000F5 -S3154000557000000000000000000000000000000000E5 -S3154000558000000000000000000000000000000000D5 -S3154000559000000000000000000000000000000000C5 -S315400055A000000000000000000000000000000000B5 -S315400055B000000000000000000000000000000000A5 -S315400055C00000000000000000000000000000000095 -S315400055D00000000000000000000000000000000085 -S315400055E00000000000000000000000000000000075 -S315400055F00000000000000000000000000000000065 -S315400056000000000000000000000000000000000054 -S315400056100000000000000000000000000000000044 -S315400056200000000000000000000000000000000034 -S315400056300000000000000000000000000000000024 -S315400056400000000000000000000000000000000014 -S315400056500000000000000000000000000000000004 -S3154000566000000000000000000000000000000000F4 -S3154000567000000000000000000000000000000000E4 -S3154000568000000000000000000000000000000000D4 -S3154000569000000000000000000000000000000000C4 -S315400056A000000000000000000000000000000000B4 -S315400056B000000000000000000000000000000000A4 -S315400056C00000000000000000000000000000000094 -S315400056D00000000000000000000000000000000084 -S315400056E00000000000000000000000000000000074 -S315400056F00000000000000000000000000000000064 -S315400057000000000000000000000000000000000053 -S315400057100000000000000000000000000000000043 -S315400057200000000000000000000000000000000033 -S315400057300000000000000000000000000000000023 -S315400057400000000000000000000000000000000013 -S315400057500000000000000000000000000000000003 -S3154000576000000000000000000000000000000000F3 -S3154000577000000000000000000000000000000000E3 -S3154000578000000000000000000000000000000000D3 -S3154000579000000000000000000000000000000000C3 -S315400057A000000000000000000000000000000000B3 -S315400057B000000000000000000000000000000000A3 -S315400057C00000000000000000000000000000000093 -S315400057D00000000000000000000000000000000083 -S315400057E00000000000000000000000000000000073 -S315400057F00000000000000000000000000000000063 -S315400058000000000000000000000000000000000052 -S315400058100000000000000000000000000000000042 -S315400058200000000000000000000000000000000032 -S315400058300000000000000000000000000000000022 -S315400058400000000000000000000000000000000012 -S315400058500000000000000000000000000000000002 -S3154000586000000000000000000000000000000000F2 -S3154000587000000000000000000000000000000000E2 -S3154000588000000000000000000000000000000000D2 -S3154000589000000000000000000000000000000000C2 -S315400058A000000000000000000000000000000000B2 -S315400058B000000000000000000000000000000000A2 -S315400058C00000000000000000000000000000000092 -S315400058D00000000000000000000000000000000082 -S315400058E00000000000000000000000000000000072 -S315400058F00000000000000000000000000000000062 -S315400059000000000000000000000000000000000051 -S315400059100000000000000000000000000000000041 -S315400059200000000000000000000000000000000031 -S315400059300000000000000000000000000000000021 -S315400059400000000000000000000000000000000011 -S315400059500000000000000000000000000000000001 -S3154000596000000000000000000000000000000000F1 -S3154000597000000000000000000000000000000000E1 -S3154000598000000000000000000000000000000000D1 -S3154000599000000000000000000000000000000000C1 -S315400059A000000000000000000000000000000000B1 -S315400059B000000000000000000000000000000000A1 -S315400059C00000000000000000000000000000000091 -S315400059D00000000000000000000000000000000081 -S315400059E00000000000000000000000000000000071 -S315400059F00000000000000000000000000000000061 -S31540005A000000000000000000000000000000000050 -S31540005A100000000000000000000000000000000040 -S31540005A200000000000000000000000000000000030 -S31540005A300000000000000000000000000000000020 -S31540005A400000000000000000000000000000000010 -S31540005A500000000000000000000000000000000000 -S31540005A6000000000000000000000000000000000F0 -S31540005A7000000000000000000000000000000000E0 -S31540005A8000000000000000000000000000000000D0 -S31540005A9000000000000000000000000000000000C0 -S31540005AA000000000000000000000000000000000B0 -S31540005AB000000000000000000000000000000000A0 -S31540005AC00000000000000000000000000000000090 -S31540005AD00000000000000000000000000000000080 -S31540005AE00000000000000000000000000000000070 -S31540005AF00000000000000000000000000000000060 -S31540005B00000000000000000000000000000000004F -S31540005B10000000000000000000000000000000003F -S31540005B20000000000000000000000000000000002F -S31540005B30000000000000000000000000000000001F -S31540005B40000000000000000000000000000000000F -S31540005B5000000000000000000000000000000000FF -S31540005B6000000000000000000000000000000000EF -S31540005B7000000000000000000000000000000000DF -S31540005B8000000000000000000000000000000000CF -S31540005B9000000000000000000000000000000000BF -S31540005BA000000000000000000000000000000000AF -S31540005BB0000000000000000000000000000000009F -S31540005BC0000000000000000000000000000000008F -S31540005BD0000000000000000000000000000000007F -S31540005BE0000000000000000000000000000000006F -S31540005BF0000000000000000000000000000000005F -S31540005C00000000000000000000000000000000004E -S31540005C10000000000000000000000000000000003E -S31540005C20000000000000000000000000000000002E -S31540005C30000000000000000000000000000000001E -S31540005C40000000000000000000000000000000000E -S31540005C5000000000000000000000000000000000FE -S31540005C6000000000000000000000000000000000EE -S31540005C7000000000000000000000000000000000DE -S31540005C8000000000000000000000000000000000CE -S31540005C9000000000000000000000000000000000BE -S31540005CA000000000000000000000000000000000AE -S31540005CB0000000000000000000000000000000009E -S31540005CC0000000000000000000000000000000008E -S31540005CD0000000000000000000000000000000007E -S31540005CE0000000000000000000000000000000006E -S31540005CF0000000000000000000000000000000005E -S31540005D00000000000000000000000000000000004D -S31540005D10000000000000000000000000000000003D -S31540005D20000000000000000000000000000000002D -S31540005D30000000000000000000000000000000001D -S31540005D40000000000000000000000000000000000D -S31540005D5000000000000000000000000000000000FD -S31540005D6000000000000000000000000000000000ED -S31540005D7000000000000000000000000000000000DD -S31540005D8000000000000000000000000000000000CD -S31540005D9000000000000000000000000000000000BD -S31540005DA000000000000000000000000000000000AD -S31540005DB0000000000000000000000000000000009D -S31540005DC0000000000000000000000000000000008D -S31540005DD0000000000000000000000000000000007D -S31540005DE0000000000000000000000000000000006D -S31540005DF0000000000000000000000000000000005D -S31540005E00000000000000000000000000000000004C -S31540005E10000000000000000000000000000000003C -S31540005E20000000000000000000000000000000002C -S31540005E30000000000000000000000000000000001C -S31540005E40000000000000000000000000000000000C -S31540005E5000000000000000000000000000000000FC -S31540005E6000000000000000000000000000000000EC -S31540005E7000000000000000000000000000000000DC -S31540005E8000000000000000000000000000000000CC -S31540005E9000000000000000000000000000000000BC -S31540005EA000000000000000000000000000000000AC -S31540005EB0000000000000000000000000000000009C -S31540005EC0000000000000000000000000000000008C -S31540005ED0000000000000000000000000000000007C -S31540005EE0000000000000000000000000000000006C -S31540005EF0000000000000000000000000000000005C -S31540005F00000000000000000000000000000000004B -S31540005F10000000000000000000000000000000003B -S31540005F20000000000000000000000000000000002B -S31540005F30000000000000000000000000000000001B -S31540005F40000000000000000000000000000000000B -S31540005F5000000000000000000000000000000000FB -S31540005F6000000000000000000000000000000000EB -S31540005F7000000000000000000000000000000000DB -S31540005F8000000000000000000000000000000000CB -S31540005F9000000000000000000000000000000000BB -S31540005FA000000000000000000000000000000000AB -S31540005FB0000000000000000000000000000000009B -S31540005FC0000000000000000000000000000000008B -S31540005FD0000000000000000000000000000000007B -S31540005FE0000000000000000000000000000000006B -S31540005FF0000000000000000000000000000000005B -S31540006000000000000000000000000000000000004A -S31540006010000000000000000000000000000000003A -S31540006020000000000000000000000000000000002A -S31540006030000000000000000000000000000000001A -S31540006040000000000000000000000000000000000A -S3154000605000000000000000000000000000000000FA -S3154000606000000000000000000000000000000000EA -S3154000607000000000000000000000000000000000DA -S3154000608000000000000000000000000000000000CA -S3154000609000000000000000000000000000000000BA -S315400060A000000000000000000000000000000000AA -S315400060B0000000000000000000000000000000009A -S315400060C0000000000000000000000000000000008A -S315400060D0000000000000000000000000000000007A -S315400060E0000000000000000000000000000000006A -S315400060F0000000000000000000000000000000005A -S315400061000000000000000000000000000000000049 -S315400061100000000000000000000000000000000039 -S315400061200000000000000000000000000000000029 -S315400061300000000000000000000000000000000019 -S315400061400000000000000000000000000000000009 -S3154000615000000000000000000000000000000000F9 -S3154000616000000000000000000000000000000000E9 -S3154000617000000000000000000000000000000000D9 -S3154000618000000000000000000000000000000000C9 -S3154000619000000000000000000000000000000000B9 -S315400061A000000000000000000000000000000000A9 -S315400061B00000000000000000000000000000000099 -S315400061C00000000000000000000000000000000089 -S315400061D00000000000000000000000000000000079 -S315400061E00000000000000000000000000000000069 -S315400061F00000000000000000000000000000000059 -S315400062000000000000000000000000000000000048 -S315400062100000000000000000000000000000000038 -S315400062200000000000000000000000000000000028 -S315400062300000000000000000000000000000000018 -S315400062400000000000000000000000000000000008 -S3154000625000000000000000000000000000000000F8 -S3154000626000000000000000000000000000000000E8 -S3154000627000000000000000000000000000000000D8 -S3154000628000000000000000000000000000000000C8 -S3154000629000000000000000000000000000000000B8 -S315400062A000000000000000000000000000000000A8 -S315400062B00000000000000000000000000000000098 -S315400062C00000000000000000000000000000000088 -S315400062D00000000000000000000000000000000078 -S315400062E00000000000000000000000000000000068 -S315400062F00000000000000000000000000000000058 -S315400063000000000000000000000000000000000047 -S315400063100000000000000000000000000000000037 -S315400063200000000000000000000000000000000027 -S315400063300000000000000000000000000000000017 -S315400063400000000000000000000000000000000007 -S3154000635000000000000000000000000000000000F7 -S3154000636000000000000000000000000000000000E7 -S3154000637000000000000000000000000000000000D7 -S3154000638000000000000000000000000000000000C7 -S3154000639000000000000000000000000000000000B7 -S315400063A000000000000000000000000000000000A7 -S315400063B00000000000000000000000000000000097 -S315400063C00000000000000000000000000000000087 -S315400063D00000000000000000000000000000000077 -S315400063E00000000000000000000000000000000067 -S315400063F00000000000000000000000000000000057 -S315400064000000000000000000000000000000000046 -S315400064100000000000000000000000000000000036 -S315400064200000000000000000000000000000000026 -S315400064300000000000000000000000000000000016 -S315400064400000000000000000000000000000000006 -S3154000645000000000000000000000000000000000F6 -S3154000646000000000000000000000000000000000E6 -S3154000647000000000000000000000000000000000D6 -S3154000648000000000000000000000000000000000C6 -S3154000649000000000000000000000000000000000B6 -S315400064A000000000000000000000000000000000A6 -S315400064B00000000000000000000000000000000096 -S315400064C00000000000000000000000000000000086 -S315400064D00000000000000000000000000000000076 -S315400064E00000000000000000000000000000000066 -S315400064F00000000000000000000000000000000056 -S315400065000000000000000000000000000000000045 -S315400065100000000000000000000000000000000035 -S315400065200000000000000000000000000000000025 -S315400065300000000000000000000000000000000015 -S315400065400000000000000000000000000000000005 -S3154000655000000000000000000000000000000000F5 -S3154000656000000000000000000000000000000000E5 -S3154000657000000000000000000000000000000000D5 -S3154000658000000000000000000000000000000000C5 -S3154000659000000000000000000000000000000000B5 -S315400065A000000000000000000000000000000000A5 -S315400065B00000000000000000000000000000000095 -S315400065C00000000000000000000000000000000085 -S315400065D00000000000000000000000000000000075 -S315400065E00000000000000000000000000000000065 -S315400065F00000000000000000000000000000000055 -S315400066000000000000000000000000000000000044 -S315400066100000000000000000000000000000000034 -S315400066200000000000000000000000000000000024 -S315400066300000000000000000000000000000000014 -S315400066400000000000000000000000000000000004 -S3154000665000000000000000000000000000000000F4 -S3154000666000000000000000000000000000000000E4 -S3154000667000000000000000000000000000000000D4 -S3154000668000000000000000000000000000000000C4 -S3154000669000000000000000000000000000000000B4 -S315400066A000000000000000000000000000000000A4 -S315400066B00000000000000000000000000000000094 -S315400066C00000000000000000000000000000000084 -S315400066D00000000000000000000000000000000074 -S315400066E00000000000000000000000000000000064 -S315400066F00000000000000000000000000000000054 -S315400067000000000000000000000000000000000043 -S315400067100000000000000000000000000000000033 -S315400067200000000000000000000000000000000023 -S315400067300000000000000000000000000000000013 -S315400067400000000000000000000000000000000003 -S3154000675000000000000000000000000000000000F3 -S3154000676000000000000000000000000000000000E3 -S3154000677000000000000000000000000000000000D3 -S3154000678000000000000000000000000000000000C3 -S3154000679000000000000000000000000000000000B3 -S315400067A000000000000000000000000000000000A3 -S315400067B00000000000000000000000000000000093 -S315400067C00000000000000000000000000000000083 -S315400067D00000000000000000000000000000000073 -S315400067E00000000000000000000000000000000063 -S315400067F00000000000000000000000000000000053 -S315400068000000000000000000000000000000000042 -S315400068100000000000000000000000000000000032 -S315400068200000000000000000000000000000000022 -S315400068300000000000000000000000000000000012 -S315400068400000000000000000000000000000000002 -S3154000685000000000000000000000000000000000F2 -S3154000686000000000000000000000000000000000E2 -S3154000687000000000000000000000000000000000D2 -S3154000688000000000000000000000000000000000C2 -S3154000689000000000000000000000000000000000B2 -S315400068A000000000000000000000000000000000A2 -S315400068B00000000000000000000000000000000092 -S315400068C00000000000000000000000000000000082 -S315400068D00000000000000000000000000000000072 -S315400068E00000000000000000000000000000000062 -S315400068F00000000000000000000000000000000052 -S315400069000000000000000000000000000000000041 -S315400069100000000000000000000000000000000031 -S315400069200000000000000000000000000000000021 -S315400069300000000000000000000000000000000011 -S315400069400000000000000000000000000000000001 -S3154000695000000000000000000000000000000000F1 -S3154000696000000000000000000000000000000000E1 -S3154000697000000000000000000000000000000000D1 -S3154000698000000000000000000000000000000000C1 -S3154000699000000000000000000000000000000000B1 -S315400069A000000000000000000000000000000000A1 -S315400069B00000000000000000000000000000000091 -S315400069C00000000000000000000000000000000081 -S315400069D00000000000000000000000000000000071 -S315400069E00000000000000000000000000000000061 -S315400069F00000000000000000000000000000000051 -S31540006A000000000000000000000000000000000040 -S31540006A100000000000000000000000000000000030 -S31540006A200000000000000000000000000000000020 -S31540006A300000000000000000000000000000000010 -S31540006A400000000000000000000000000000000000 -S31540006A5000000000000000000000000000000000F0 -S31540006A6000000000000000000000000000000000E0 -S31540006A7000000000000000000000000000000000D0 -S31540006A8000000000000000000000000000000000C0 -S31540006A9000000000000000000000000000000000B0 -S31540006AA000000000000000000000000000000000A0 -S31540006AB00000000000000000000000000000000090 -S31540006AC00000000000000000000000000000000080 -S31540006AD00000000000000000000000000000000070 -S31540006AE00000000000000000000000000000000060 -S31540006AF00000000000000000000000000000000050 -S31540006B00000000000000000000000000000000003F -S31540006B10000000000000000000000000000000002F -S31540006B20000000000000000000000000000000001F -S31540006B30000000000000000000000000000000000F -S31540006B4000000000000000000000000000000000FF -S31540006B5000000000000000000000000000000000EF -S31540006B6000000000000000000000000000000000DF -S31540006B7000000000000000000000000000000000CF -S31540006B8000000000000000000000000000000000BF -S31540006B9000000000000000000000000000000000AF -S31540006BA0000000000000000000000000000000009F -S31540006BB0000000000000000000000000000000008F -S31540006BC0000000000000000000000000000000007F -S31540006BD0000000000000000000000000000000006F -S31540006BE0000000000000000000000000000000005F -S31540006BF0000000000000000000000000000000004F -S31540006C00000000000000000000000000000000003E -S31540006C10000000000000000000000000000000002E -S31540006C20000000000000000000000000000000001E -S31540006C30000000000000000000000000000000000E -S31540006C4000000000000000000000000000000000FE -S31540006C5000000000000000000000000000000000EE -S31540006C6000000000000000000000000000000000DE -S31540006C7000000000000000000000000000000000CE -S31540006C8000000000000000000000000000000000BE -S31540006C9000000000000000000000000000000000AE -S31540006CA0000000000000000000000000000000009E -S31540006CB0000000000000000000000000000000008E -S31540006CC0000000000000000000000000000000007E -S31540006CD0000000000000000000000000000000006E -S31540006CE0000000000000000000000000000000005E -S31540006CF0000000000000000000000000000000004E -S31540006D00000000000000000000000000000000003D -S31540006D10000000000000000000000000000000002D -S31540006D20000000000000000000000000000000001D -S31540006D30000000000000000000000000000000000D -S31540006D4000000000000000000000000000000000FD -S31540006D5000000000000000000000000000000000ED -S31540006D6000000000000000000000000000000000DD -S31540006D7000000000000000000000000000000000CD -S31540006D8000000000000000000000000000000000BD -S31540006D9000000000000000000000000000000000AD -S31540006DA0000000000000000000000000000000009D -S31540006DB0000000000000000000000000000000008D -S31540006DC0000000000000000000000000000000007D -S31540006DD0000000000000000000000000000000006D -S31540006DE0000000000000000000000000000000005D -S31540006DF0000000000000000000000000000000004D -S31540006E00000000000000000000000000000000003C -S31540006E10000000000000000000000000000000002C -S31540006E20000000000000000000000000000000001C -S31540006E30000000000000000000000000000000000C -S31540006E4000000000000000000000000000000000FC -S31540006E5000000000000000000000000000000000EC -S31540006E6000000000000000000000000000000000DC -S31540006E7000000000000000000000000000000000CC -S31540006E8000000000000000000000000000000000BC -S31540006E9000000000000000000000000000000000AC -S31540006EA0000000000000000000000000000000009C -S31540006EB0000000000000000000000000000000008C -S31540006EC0000000000000000000000000000000007C -S31540006ED0000000000000000000000000000000006C -S31540006EE0000000000000000000000000000000005C -S31540006EF0000000000000000000000000000000004C -S31540006F00000000000000000000000000000000003B -S31540006F10000000000000000000000000000000002B -S31540006F20000000000000000000000000000000001B -S31540006F30000000000000000000000000000000000B -S31540006F4000000000000000000000000000000000FB -S31540006F5000000000000000000000000000000000EB -S31540006F6000000000000000000000000000000000DB -S31540006F7000000000000000000000000000000000CB -S31540006F8000000000000000000000000000000000BB -S31540006F9000000000000000000000000000000000AB -S31540006FA0000000000000000000000000000000009B -S31540006FB0000000000000000000000000000000008B -S31540006FC0000000000000000000000000000000007B -S31540006FD0000000000000000000000000000000006B -S31540006FE0000000000000000000000000000000005B -S31540006FF0000000000000000000000000000000004B -S31540007000000000000000000000000000000000003A -S31540007010000000000000000000000000000000002A -S31540007020000000000000000000000000000000001A -S31540007030000000000000000000000000000000000A -S3154000704000000000000000000000000000000000FA -S3154000705000000000000000000000000000000000EA -S3154000706000000000000000000000000000000000DA -S3154000707000000000000000000000000000000000CA -S3154000708000000000000000000000000000000000BA -S3154000709000000000000000000000000000000000AA -S315400070A0000000000000000000000000000000009A -S315400070B0000000000000000000000000000000008A -S315400070C0000000000000000000000000000000007A -S315400070D0000000000000000000000000000000006A -S315400070E0000000000000000000000000000000005A -S315400070F0000000000000000000000000000000004A -S315400071000000000000000000000000000000000039 -S315400071100000000000000000000000000000000029 -S315400071200000000000000000000000000000000019 -S315400071300000000000000000000000000000000009 -S3154000714000000000000000000000000000000000F9 -S3154000715000000000000000000000000000000000E9 -S3154000716000000000000000000000000000000000D9 -S3154000717000000000000000000000000000000000C9 -S3154000718000000000000000000000000000000000B9 -S3154000719000000000000000000000000000000000A9 -S315400071A00000000000000000000000000000000099 -S315400071B00000000000000000000000000000000089 -S315400071C00000000000000000000000000000000079 -S315400071D00000000000000000000000000000000069 -S315400071E00000000000000000000000000000000059 -S315400071F00000000000000000000000000000000049 -S315400072000000000000000000000000000000000038 -S315400072100000000000000000000000000000000028 -S315400072200000000000000000000000000000000018 -S315400072300000000000000000000000000000000008 -S3154000724000000000000000000000000000000000F8 -S3154000725000000000000000000000000000000000E8 -S3154000726000000000000000000000000000000000D8 -S3154000727000000000000000000000000000000000C8 -S3154000728000000000000000000000000000000000B8 -S3154000729000000000000000000000000000000000A8 -S315400072A00000000000000000000000000000000098 -S315400072B00000000000000000000000000000000088 -S315400072C00000000000000000000000000000000078 -S315400072D00000000000000000000000000000000068 -S315400072E00000000000000000000000000000000058 -S315400072F00000000000000000000000000000000048 -S315400073000000000000000000000000000000000037 -S315400073100000000000000000000000000000000027 -S315400073200000000000000000000000000000000017 -S315400073300000000000000000000000000000000007 -S3154000734000000000000000000000000000000000F7 -S3154000735000000000000000000000000000000000E7 -S3154000736000000000000000000000000000000000D7 -S3154000737000000000000000000000000000000000C7 -S3154000738000000000000000000000000000000000B7 -S3154000739000000000000000000000000000000000A7 -S315400073A00000000000000000000000000000000097 -S315400073B00000000000000000000000000000000087 -S315400073C00000000000000000000000000000000077 -S315400073D00000000000000000000000000000000067 -S315400073E00000000000000000000000000000000057 -S315400073F00000000000000000000000000000000047 -S315400074000000000000000000000000000000000036 -S315400074100000000000000000000000000000000026 -S315400074200000000000000000000000000000000016 -S315400074300000000000000000000000000000000006 -S3154000744000000000000000000000000000000000F6 -S3154000745000000000000000000000000000000000E6 -S3154000746000000000000000000000000000000000D6 -S3154000747000000000000000000000000000000000C6 -S3154000748000000000000000000000000000000000B6 -S3154000749000000000000000000000000000000000A6 -S315400074A00000000000000000000000000000000096 -S315400074B00000000000000000000000000000000086 -S315400074C00000000000000000000000000000000076 -S315400074D00000000000000000000000000000000066 -S315400074E00000000000000000000000000000000056 -S315400074F00000000000000000000000000000000046 -S315400075000000000000000000000000000000000035 -S315400075100000000000000000000000000000000025 -S315400075200000000000000000000000000000000015 -S315400075300000000000000000000000000000000005 -S3154000754000000000000000000000000000000000F5 -S3154000755000000000000000000000000000000000E5 -S3154000756000000000000000000000000000000000D5 -S3154000757000000000000000000000000000000000C5 -S3154000758000000000000000000000000000000000B5 -S3154000759000000000000000000000000000000000A5 -S315400075A00000000000000000000000000000000095 -S315400075B00000000000000000000000000000000085 -S315400075C00000000000000000000000000000000075 -S315400075D00000000000000000000000000000000065 -S315400075E00000000000000000000000000000000055 -S315400075F00000000000000000000000000000000045 -S315400076000000000000000000000000000000000034 -S315400076100000000000000000000000000000000024 -S315400076200000000000000000000000000000000014 -S315400076300000000000000000000000000000000004 -S3154000764000000000000000000000000000000000F4 -S3154000765000000000000000000000000000000000E4 -S3154000766000000000000000000000000000000000D4 -S3154000767000000000000000000000000000000000C4 -S3154000768000000000000000000000000000000000B4 -S3154000769000000000000000000000000000000000A4 -S315400076A00000000000000000000000000000000094 -S315400076B00000000000000000000000000000000084 -S315400076C00000000000000000000000000000000074 -S315400076D00000000000000000000000000000000064 -S315400076E00000000000000000000000000000000054 -S315400076F00000000000000000000000000000000044 -S315400077000000000000000000000000000000000033 -S315400077100000000000000000000000000000000023 -S315400077200000000000000000000000000000000013 -S315400077300000000000000000000000000000000003 -S3154000774000000000000000000000000000000000F3 -S3154000775000000000000000000000000000000000E3 -S3154000776000000000000000000000000000000000D3 -S3154000777000000000000000000000000000000000C3 -S3154000778000000000000000000000000000000000B3 -S3154000779000000000000000000000000000000000A3 -S315400077A00000000000000000000000000000000093 -S315400077B00000000000000000000000000000000083 -S315400077C00000000000000000000000000000000073 -S315400077D00000000000000000000000000000000063 -S315400077E00000000000000000000000000000000053 -S315400077F00000000000000000000000000000000043 -S315400078000000000000000000000000000000000032 -S315400078100000000000000000000000000000000022 -S315400078200000000000000000000000000000000012 -S315400078300000000000000000000000000000000002 -S3154000784000000000000000000000000000000000F2 -S3154000785000000000000000000000000000000000E2 -S3154000786000000000000000000000000000000000D2 -S3154000787000000000000000000000000000000000C2 -S3154000788000000000000000000000000000000000B2 -S3154000789000000000000000000000000000000000A2 -S315400078A00000000000000000000000000000000092 -S315400078B00000000000000000000000000000000082 -S315400078C00000000000000000000000000000000072 -S315400078D00000000000000000000000000000000062 -S315400078E00000000000000000000000000000000052 -S315400078F00000000000000000000000000000000042 -S315400079000000000000000000000000000000000031 -S315400079100000000000000000000000000000000021 -S315400079200000000000000000000000000000000011 -S315400079300000000000000000000000000000000001 -S3154000794000000000000000000000000000000000F1 -S3154000795000000000000000000000000000000000E1 -S3154000796000000000000000000000000000000000D1 -S3154000797000000000000000000000000000000000C1 -S3154000798000000000000000000000000000000000B1 -S3154000799000000000000000000000000000000000A1 -S315400079A00000000000000000000000000000000091 -S315400079B00000000000000000000000000000000081 -S315400079C00000000000000000000000000000000071 -S315400079D00000000000000000000000000000000061 -S315400079E00000000000000000000000000000000051 -S315400079F00000000000000000000000000000000041 -S31540007A000000000000000000000000000000000030 -S31540007A100000000000000000000000000000000020 -S31540007A200000000000000000000000000000000010 -S31540007A300000000000000000000000000000000000 -S31540007A4000000000000000000000000000000000F0 -S31540007A5000000000000000000000000000000000E0 -S31540007A6000000000000000000000000000000000D0 -S31540007A7000000000000000000000000000000000C0 -S31540007A8000000000000000000000000000000000B0 -S31540007A9000000000000000000000000000000000A0 -S31540007AA00000000000000000000000000000000090 -S31540007AB00000000000000000000000000000000080 -S31540007AC00000000000000000000000000000000070 -S31540007AD00000000000000000000000000000000060 -S31540007AE00000000000000000000000000000000050 -S31540007AF00000000000000000000000000000000040 -S31540007B00000000000000000000000000000000002F -S31540007B10000000000000000000000000000000001F -S31540007B20000000000000000000000000000000000F -S31540007B3000000000000000000000000000000000FF -S31540007B4000000000000000000000000000000000EF -S31540007B5000000000000000000000000000000000DF -S31540007B6000000000000000000000000000000000CF -S31540007B7000000000000000000000000000000000BF -S31540007B8000000000000000000000000000000000AF -S31540007B90000000000000000000000000000000009F -S31540007BA0000000000000000000000000000000008F -S31540007BB0000000000000000000000000000000007F -S31540007BC0000000000000000000000000000000006F -S31540007BD0000000000000000000000000000000005F -S31540007BE0000000000000000000000000000000004F -S31540007BF0000000000000000000000000000000003F -S31540007C00000000000000000000000000000000002E -S31540007C10000000000000000000000000000000001E -S31540007C20000000000000000000000000000000000E -S31540007C3000000000000000000000000000000000FE -S31540007C4000000000000000000000000000000000EE -S31540007C5000000000000000000000000000000000DE -S31540007C6000000000000000000000000000000000CE -S31540007C7000000000000000000000000000000000BE -S31540007C8000000000000000000000000000000000AE -S31540007C90000000000000000000000000000000009E -S31540007CA0000000000000000000000000000000008E -S31540007CB0000000000000000000000000000000007E -S31540007CC0000000000000000000000000000000006E -S31540007CD0000000000000000000000000000000005E -S31540007CE0000000000000000000000000000000004E -S31540007CF0000000000000000000000000000000003E -S31540007D00000000000000000000000000000000002D -S31540007D10000000000000000000000000000000001D -S31540007D20000000000000000000000000000000000D -S31540007D3000000000000000000000000000000000FD -S31540007D4000000000000000000000000000000000ED -S31540007D5000000000000000000000000000000000DD -S31540007D6000000000000000000000000000000000CD -S31540007D7000000000000000000000000000000000BD -S31540007D8000000000000000000000000000000000AD -S31540007D90000000000000000000000000000000009D -S31540007DA0000000000000000000000000000000008D -S31540007DB0000000000000000000000000000000007D -S31540007DC0000000000000000000000000000000006D -S31540007DD0000000000000000000000000000000005D -S31540007DE0000000000000000000000000000000004D -S31540007DF0000000000000000000000000000000003D -S31540007E00000000000000000000000000000000002C -S31540007E10000000000000000000000000000000001C -S31540007E20000000000000000000000000000000000C -S31540007E3000000000000000000000000000000000FC -S31540007E4000000000000000000000000000000000EC -S31540007E5000000000000000000000000000000000DC -S31540007E6000000000000000000000000000000000CC -S31540007E7000000000000000000000000000000000BC -S31540007E8000000000000000000000000000000000AC -S31540007E90000000000000000000000000000000009C -S31540007EA0000000000000000000000000000000008C -S31540007EB0000000000000000000000000000000007C -S31540007EC0000000000000000000000000000000006C -S31540007ED0000000000000000000000000000000005C -S31540007EE0000000000000000000000000000000004C -S31540007EF0000000000000000000000000000000003C -S31540007F00000000000000000000000000000000002B -S31540007F10000000000000000000000000000000001B -S31540007F20000000000000000000000000000000000B -S31540007F3000000000000000000000000000000000FB -S31540007F4000000000000000000000000000000000EB -S31540007F5000000000000000000000000000000000DB -S31540007F6000000000000000000000000000000000CB -S31540007F7000000000000000000000000000000000BB -S31540007F8000000000000000000000000000000000AB -S31540007F90000000000000000000000000000000009B -S31540007FA0000000000000000000000000000000008B -S31540007FB0000000000000000000000000000000007B -S31540007FC0000000000000000000000000000000006B -S31540007FD0000000000000000000000000000000005B -S31540007FE0000000000000000000000000000000004B -S31540007FF0000000000000000000000000000000003B -S315400080009DE3BF9081C7E00881E8000003000010AF -S31540008010C48000408088800112BFFFFE010000003E -S31540008020EC6FBFF89DE3BF909DE3BF909DE3BF908B -S315400080309DE3BF909DE3BF909DE3BF9021044444E0 -S31540008040A014211123088888A2146222250CCCCCC6 -S31540008050A414A33327111111A614E044291555552C -S31540008060A81521552B199999AA1562662D1DDDDD96 -S31540008070AC15A3772F222222AE15E088011000000E -S31540008080E03FBFE0E43FBFE8E83FBFF0EC3FBFF86A -S315400080908210001E8220601CC0A041E08220600841 -S315400080A0C0A041E082206008C0A041E08220600874 -S315400080B0C0A041E0C0A002209DE3BF909DE3BF90D9 -S315400080C081E8000081E80000010000000100000096 -S315400080D0E01FBFE0E41FBFE8E81FBFF0EC1FBFF89A -S315400080E0EC6FBFF8EC7FBFF8010000000100000014 -S315400080F081E8000081E8000081E8000081E8000096 -S3154000810081E8000081C7E00881E80000A750000030 -S31540008110AA102400A8102300EC854320EA850320FA -S315400081202F100020AE15E160EE05C000E805C00046 -S31540008130EA05E004EC05E008AC15A000C0A5830004 -S31540008140EA250000AE05E00C2B100020AA15616060 -S31540008150EE25400081C4400081CC80000100000033 -S3154000816000000000010000000000000000000000C8 -S3154000817000000000000000000000000000000000B9 -S3154000818000000000000000000000000000000000A9 -S315400081900000000000000000000000000000000099 -S315400081A00000000001000000010000000100000086 -S315400081B00100000001000000010000000100000075 -S315400081C081C3E008D0A003200100000001000000A8 -S315400081D00100000001000000010000000100000055 -S315400081E09DE3BF90FC2780009007A0019410001EDD -S315400081F0D1E7816AD1E7816A9402A0019002200109 -S31540008200D1E7816AD1E7816AD60780009622C01EEF -S31540008210B0A2E00202800004900020017FFFE3F854 -S315400082200100000081C7E00881E80000010000006D -S3154000823001000000010000000100000001000000F4 -S3154000824001000000010000000100000001000000E4 -S3154000825001000000010000000100000001000000D4 -S3154000826001000000010000000100000001000000C4 -S3154000827001000000010000000100000001000000B4 -S3154000828001000000010000000100000001000000A4 -S315400082900100000001000000010000000100000094 -S315400082A00100000001000000010000000100000084 -S315400082B00100000001000000010000000100000074 -S315400082C00100000001000000010000000100000064 -S315400082D00100000001000000010000000100000054 -S315400082E00100000001000000010000000100000044 -S315400082F00100000001000000010000000100000034 -S315400083000100000001000000010000000100000023 -S315400083100100000001000000010000000100000013 -S315400083200100000001000000010000000100000003 -S3154000833001000000010000000100000001000000F3 -S3154000834001000000010000000100000001000000E3 -S3154000835001000000010000000100000001000000D3 -S3154000836001000000010000000100000001000000C3 -S3154000837001000000010000000100000001000000B3 -S3154000838001000000010000000100000001000000A3 -S315400083900100000001000000010000000100000093 -S315400083A00100000001000000010000000100000083 -S315400083B00100000001000000010000000100000073 -S315400083C00100000001000000010000000100000063 -S315400083D00100000001000000010000000100000053 -S315400083E00100000001000000010000000100000043 -S315400083F00100000001000000010000000100000033 -S315400084000100000001000000010000000100000022 -S315400084100100000001000000010000000100000012 -S315400084200100000001000000010000000100000002 -S3154000843001000000010000000100000001000000F2 -S3154000844001000000010000000100000001000000E2 -S3154000845001000000010000000100000001000000D2 -S3154000846001000000010000000100000001000000C2 -S3154000847001000000010000000100000001000000B2 -S3154000848001000000010000000100000001000000A2 -S315400084900100000001000000010000000100000092 -S315400084A00100000001000000010000000100000082 -S315400084B00100000001000000010000000100000072 -S315400084C00100000001000000010000000100000062 -S315400084D00100000001000000010000000100000052 -S315400084E00100000001000000010000000100000042 -S315400084F00100000001000000010000000100000032 -S315400085000100000001000000010000000100000021 -S315400085100100000001000000010000000100000011 -S315400085200100000001000000010000000100000001 -S3154000853001000000010000000100000001000000F1 -S3154000854001000000010000000100000001000000E1 -S3154000855001000000010000000100000001000000D1 -S3154000856001000000010000000100000001000000C1 -S3154000857001000000010000000100000001000000B1 -S3154000858001000000010000000100000001000000A1 -S315400085900100000001000000010000000100000091 -S315400085A00100000001000000010000000100000081 -S315400085B00100000001000000010000000100000071 -S315400085C00100000001000000010000000100000061 -S315400085D00100000001000000010000000100000051 -S315400085E00100000001000000010000000100000041 -S315400085F00100000001000000010000000100000031 -S315400086000100000001000000010000000100000020 -S315400086100100000001000000010000000100000010 -S315400086200100000001000000010000000100000000 -S3154000863001000000010000000100000001000000F0 -S3154000864001000000010000000100000001000000E0 -S3154000865001000000010000000100000001000000D0 -S3154000866001000000010000000100000001000000C0 -S3154000867001000000010000000100000001000000B0 -S3154000868001000000010000000100000001000000A0 -S315400086900100000001000000010000000100000090 -S315400086A00100000001000000010000000100000080 -S315400086B00100000001000000010000000100000070 -S315400086C00100000001000000010000000100000060 -S315400086D00100000001000000010000000100000050 -S315400086E00100000001000000010000000100000040 -S315400086F00100000001000000010000000100000030 -S31540008700010000000100000001000000010000001F -S31540008710010000000100000001000000010000000F -S3154000872001000000010000000100000001000000FF -S3154000873001000000010000000100000001000000EF -S3154000874001000000010000000100000001000000DF -S3154000875001000000010000000100000001000000CF -S3154000876001000000010000000100000001000000BF -S3154000877001000000010000000100000001000000AF -S31540008780010000000100000001000000010000009F -S31540008790010000000100000001000000010000008F -S315400087A0010000000100000001000000010000007F -S315400087B0010000000100000001000000010000006F -S315400087C0010000000100000001000000010000005F -S315400087D0010000000100000001000000010000004F -S315400087E0010000000100000001000000010000003F -S315400087F0010000000100000001000000010000002F -S31540008800010000000100000001000000010000001E -S31540008810010000000100000001000000010000000E -S3154000882001000000010000000100000001000000FE -S3154000883001000000010000000100000001000000EE -S3154000884001000000010000000100000001000000DE -S3154000885001000000010000000100000001000000CE -S3154000886001000000010000000100000001000000BE -S3154000887001000000010000000100000001000000AE -S31540008880010000000100000001000000010000009E -S31540008890010000000100000001000000010000008E -S315400088A0010000000100000001000000010000007E -S315400088B0010000000100000001000000010000006E -S315400088C0010000000100000001000000010000005E -S315400088D0010000000100000001000000010000004E -S315400088E0010000000100000001000000010000003E -S315400088F0010000000100000001000000010000002E -S31540008900010000000100000001000000010000001D -S31540008910010000000100000001000000010000000D -S3154000892001000000010000000100000001000000FD -S3154000893001000000010000000100000001000000ED -S3154000894001000000010000000100000001000000DD -S3154000895001000000010000000100000001000000CD -S3154000896001000000010000000100000001000000BD -S3154000897001000000010000000100000001000000AD -S31540008980010000000100000001000000010000009D -S31540008990010000000100000001000000010000008D -S315400089A0010000000100000001000000010000007D -S315400089B0010000000100000001000000010000006D -S315400089C0010000000100000001000000010000005D -S315400089D0010000000100000001000000010000004D -S315400089E0010000000100000001000000010000003D -S315400089F0010000000100000001000000010000002D -S31540008A00010000000100000001000000010000001C -S31540008A10010000000100000001000000010000000C -S31540008A2001000000010000000100000001000000FC -S31540008A3001000000010000000100000001000000EC -S31540008A4001000000010000000100000001000000DC -S31540008A5001000000010000000100000001000000CC -S31540008A6001000000010000000100000001000000BC -S31540008A7001000000010000000100000001000000AC -S31540008A80010000000100000001000000010000009C -S31540008A90010000000100000001000000010000008C -S31540008AA0010000000100000001000000010000007C -S31540008AB0010000000100000001000000010000006C -S31540008AC0010000000100000001000000010000005C -S31540008AD0010000000100000001000000010000004C -S31540008AE0010000000100000001000000010000003C -S31540008AF0010000000100000001000000010000002C -S31540008B00010000000100000001000000010000001B -S31540008B10010000000100000001000000010000000B -S31540008B2001000000010000000100000001000000FB -S31540008B3001000000010000000100000001000000EB -S31540008B4001000000010000000100000001000000DB -S31540008B5001000000010000000100000001000000CB -S31540008B6001000000010000000100000001000000BB -S31540008B7001000000010000000100000001000000AB -S31540008B80010000000100000001000000010000009B -S31540008B90010000000100000001000000010000008B -S31540008BA0010000000100000001000000010000007B -S31540008BB0010000000100000001000000010000006B -S31540008BC0010000000100000001000000010000005B -S31540008BD0010000000100000001000000010000004B -S31540008BE0010000000100000001000000010000003B -S31540008BF0010000000100000001000000010000002B -S31540008C00010000000100000001000000010000001A -S31540008C10010000000100000001000000010000000A -S31540008C2001000000010000000100000001000000FA -S31540008C3001000000010000000100000001000000EA -S31540008C4001000000010000000100000001000000DA -S31540008C5001000000010000000100000001000000CA -S31540008C6001000000010000000100000001000000BA -S31540008C7001000000010000000100000001000000AA -S31540008C80010000000100000001000000010000009A -S31540008C90010000000100000001000000010000008A -S31540008CA0010000000100000001000000010000007A -S31540008CB0010000000100000001000000010000006A -S31540008CC0010000000100000001000000010000005A -S31540008CD0010000000100000001000000010000004A -S31540008CE0010000000100000001000000010000003A -S31540008CF0010000000100000001000000010000002A -S31540008D000100000001000000010000000100000019 -S31540008D100100000001000000010000000100000009 -S31540008D2001000000010000000100000001000000F9 -S31540008D3001000000010000000100000001000000E9 -S31540008D4001000000010000000100000001000000D9 -S31540008D5001000000010000000100000001000000C9 -S31540008D6001000000010000000100000001000000B9 -S31540008D7001000000010000000100000001000000A9 -S31540008D800100000001000000010000000100000099 -S31540008D900100000001000000010000000100000089 -S31540008DA00100000001000000010000000100000079 -S31540008DB00100000001000000010000000100000069 -S31540008DC00100000001000000010000000100000059 -S31540008DD00100000001000000010000000100000049 -S31540008DE00100000001000000010000000100000039 -S31540008DF00100000001000000010000000100000029 -S31540008E000100000001000000010000000100000018 -S31540008E100100000001000000010000000100000008 -S31540008E2001000000010000000100000001000000F8 -S31540008E3001000000010000000100000001000000E8 -S31540008E4001000000010000000100000001000000D8 -S31540008E5001000000010000000100000001000000C8 -S31540008E6001000000010000000100000001000000B8 -S31540008E7001000000010000000100000001000000A8 -S31540008E800100000001000000010000000100000098 -S31540008E900100000001000000010000000100000088 -S31540008EA00100000001000000010000000100000078 -S31540008EB00100000001000000010000000100000068 -S31540008EC00100000001000000010000000100000058 -S31540008ED00100000001000000010000000100000048 -S31540008EE00100000001000000010000000100000038 -S31540008EF00100000001000000010000000100000028 -S31540008F000100000001000000010000000100000017 -S31540008F100100000001000000010000000100000007 -S31540008F2001000000010000000100000001000000F7 -S31540008F3001000000010000000100000001000000E7 -S31540008F4001000000010000000100000001000000D7 -S31540008F5001000000010000000100000001000000C7 -S31540008F6001000000010000000100000001000000B7 -S31540008F7001000000010000000100000001000000A7 -S31540008F800100000001000000010000000100000097 -S31540008F900100000001000000010000000100000087 -S31540008FA00100000001000000010000000100000077 -S31540008FB00100000001000000010000000100000067 -S31540008FC00100000001000000010000000100000057 -S31540008FD00100000001000000010000000100000047 -S31540008FE00100000001000000010000000100000037 -S31540008FF00100000001000000010000000100000027 -S315400090000100000001000000010000000100000016 -S315400090100100000001000000010000000100000006 -S3154000902001000000010000000100000001000000F6 -S3154000903001000000010000000100000001000000E6 -S3154000904001000000010000000100000001000000D6 -S3154000905001000000010000000100000001000000C6 -S3154000906001000000010000000100000001000000B6 -S3154000907001000000010000000100000001000000A6 -S315400090800100000001000000010000000100000096 -S315400090900100000001000000010000000100000086 -S315400090A00100000001000000010000000100000076 -S315400090B00100000001000000010000000100000066 -S315400090C00100000001000000010000000100000056 -S315400090D00100000001000000010000000100000046 -S315400090E00100000001000000010000000100000036 -S315400090F00100000001000000010000000100000026 -S315400091000100000001000000010000000100000015 -S315400091100100000001000000010000000100000005 -S3154000912001000000010000000100000001000000F5 -S3154000913001000000010000000100000001000000E5 -S3154000914001000000010000000100000001000000D5 -S3154000915001000000010000000100000001000000C5 -S3154000916001000000010000000100000001000000B5 -S3154000917001000000010000000100000001000000A5 -S315400091800100000001000000010000000100000095 -S315400091900100000001000000010000000100000085 -S315400091A00100000001000000010000000100000075 -S315400091B00100000001000000010000000100000065 -S315400091C00100000001000000010000000100000055 -S315400091D00100000001000000010000000100000045 -S315400091E00100000001000000010000000100000035 -S315400091F00100000001000000010000000100000025 -S315400092000100000001000000010000000100000014 -S315400092100100000001000000010000000100000004 -S3154000922001000000010000000100000001000000F4 -S3154000923001000000010000000100000001000000E4 -S3154000924001000000010000000100000001000000D4 -S3154000925001000000010000000100000001000000C4 -S3154000926001000000010000000100000001000000B4 -S3154000927001000000010000000100000001000000A4 -S315400092800100000001000000010000000100000094 -S315400092900100000001000000010000000100000084 -S315400092A00100000001000000010000000100000074 -S315400092B00100000001000000010000000100000064 -S315400092C00100000001000000010000000100000054 -S315400092D00100000001000000010000000100000044 -S315400092E00100000001000000010000000100000034 -S315400092F00100000001000000010000000100000024 -S315400093000100000001000000010000000100000013 -S315400093100100000001000000010000000100000003 -S3154000932001000000010000000100000001000000F3 -S3154000933001000000010000000100000001000000E3 -S3154000934001000000010000000100000001000000D3 -S3154000935001000000010000000100000001000000C3 -S3154000936001000000010000000100000001000000B3 -S3154000937001000000010000000100000001000000A3 -S315400093800100000001000000010000000100000093 -S315400093900100000001000000010000000100000083 -S315400093A00100000001000000010000000100000073 -S315400093B00100000001000000010000000100000063 -S315400093C00100000001000000010000000100000053 -S315400093D00100000001000000010000000100000043 -S315400093E00100000001000000010000000100000033 -S315400093F00100000001000000010000000100000023 -S315400094000100000001000000010000000100000012 -S315400094100100000001000000010000000100000002 -S3154000942001000000010000000100000001000000F2 -S3154000943001000000010000000100000001000000E2 -S3154000944001000000010000000100000001000000D2 -S3154000945001000000010000000100000001000000C2 -S3154000946001000000010000000100000001000000B2 -S3154000947001000000010000000100000001000000A2 -S315400094800100000001000000010000000100000092 -S315400094900100000001000000010000000100000082 -S315400094A00100000001000000010000000100000072 -S315400094B00100000001000000010000000100000062 -S315400094C00100000001000000010000000100000052 -S315400094D00100000001000000010000000100000042 -S315400094E00100000001000000010000000100000032 -S315400094F00100000001000000010000000100000022 -S315400095000100000001000000010000000100000011 -S315400095100100000001000000010000000100000001 -S3154000952001000000010000000100000001000000F1 -S3154000953001000000010000000100000001000000E1 -S3154000954001000000010000000100000001000000D1 -S3154000955001000000010000000100000001000000C1 -S3154000956001000000010000000100000001000000B1 -S3154000957001000000010000000100000001000000A1 -S315400095800100000001000000010000000100000091 -S315400095900100000001000000010000000100000081 -S315400095A00100000001000000010000000100000071 -S315400095B00100000001000000010000000100000061 -S315400095C00100000001000000010000000100000051 -S315400095D00100000001000000010000000100000041 -S315400095E00100000001000000010000000100000031 -S315400095F00100000001000000010000000100000021 -S315400096000100000001000000010000000100000010 -S315400096100100000001000000010000000100000000 -S3154000962001000000010000000100000001000000F0 -S3154000963001000000010000000100000001000000E0 -S3154000964001000000010000000100000001000000D0 -S3154000965001000000010000000100000001000000C0 -S3154000966001000000010000000100000001000000B0 -S3154000967001000000010000000100000001000000A0 -S315400096800100000001000000010000000100000090 -S315400096900100000001000000010000000100000080 -S315400096A00100000001000000010000000100000070 -S315400096B00100000001000000010000000100000060 -S315400096C00100000001000000010000000100000050 -S315400096D00100000001000000010000000100000040 -S315400096E00100000001000000010000000100000030 -S315400096F00100000001000000010000000100000020 -S31540009700010000000100000001000000010000000F -S3154000971001000000010000000100000001000000FF -S3154000972001000000010000000100000001000000EF -S3154000973001000000010000000100000001000000DF -S3154000974001000000010000000100000001000000CF -S3154000975001000000010000000100000001000000BF -S3154000976001000000010000000100000001000000AF -S31540009770010000000100000001000000010000009F -S31540009780010000000100000001000000010000008F -S31540009790010000000100000001000000010000007F -S315400097A0010000000100000001000000010000006F -S315400097B0010000000100000001000000010000005F -S315400097C0010000000100000001000000010000004F -S315400097D0010000000100000001000000010000003F -S315400097E0010000000100000001000000010000002F -S315400097F0010000000100000001000000010000001F -S31540009800010000000100000001000000010000000E -S3154000981001000000010000000100000001000000FE -S3154000982001000000010000000100000001000000EE -S3154000983001000000010000000100000001000000DE -S3154000984001000000010000000100000001000000CE -S3154000985001000000010000000100000001000000BE -S3154000986001000000010000000100000001000000AE -S31540009870010000000100000001000000010000009E -S31540009880010000000100000001000000010000008E -S31540009890010000000100000001000000010000007E -S315400098A0010000000100000001000000010000006E -S315400098B0010000000100000001000000010000005E -S315400098C0010000000100000001000000010000004E -S315400098D0010000000100000001000000010000003E -S315400098E0010000000100000001000000010000002E -S315400098F0010000000100000001000000010000001E -S31540009900010000000100000001000000010000000D -S3154000991001000000010000000100000001000000FD -S3154000992001000000010000000100000001000000ED -S3154000993001000000010000000100000001000000DD -S3154000994001000000010000000100000001000000CD -S3154000995001000000010000000100000001000000BD -S3154000996001000000010000000100000001000000AD -S31540009970010000000100000001000000010000009D -S31540009980010000000100000001000000010000008D -S31540009990010000000100000001000000010000007D -S315400099A0010000000100000001000000010000006D -S315400099B0010000000100000001000000010000005D -S315400099C0010000000100000001000000010000004D -S315400099D0010000000100000001000000010000003D -S315400099E0010000000100000001000000010000002D -S315400099F0010000000100000001000000010000001D -S31540009A00010000000100000001000000010000000C -S31540009A1001000000010000000100000001000000FC -S31540009A2001000000010000000100000001000000EC -S31540009A3001000000010000000100000001000000DC -S31540009A4001000000010000000100000001000000CC -S31540009A5001000000010000000100000001000000BC -S31540009A6001000000010000000100000001000000AC -S31540009A70010000000100000001000000010000009C -S31540009A80010000000100000001000000010000008C -S31540009A90010000000100000001000000010000007C -S31540009AA0010000000100000001000000010000006C -S31540009AB0010000000100000001000000010000005C -S31540009AC0010000000100000001000000010000004C -S31540009AD0010000000100000001000000010000003C -S31540009AE0010000000100000001000000010000002C -S31540009AF0010000000100000001000000010000001C -S31540009B00010000000100000001000000010000000B -S31540009B1001000000010000000100000001000000FB -S31540009B2001000000010000000100000001000000EB -S31540009B3001000000010000000100000001000000DB -S31540009B4001000000010000000100000001000000CB -S31540009B5001000000010000000100000001000000BB -S31540009B6001000000010000000100000001000000AB -S31540009B70010000000100000001000000010000009B -S31540009B80010000000100000001000000010000008B -S31540009B90010000000100000001000000010000007B -S31540009BA0010000000100000001000000010000006B -S31540009BB0010000000100000001000000010000005B -S31540009BC0010000000100000001000000010000004B -S31540009BD0010000000100000001000000010000003B -S31540009BE0010000000100000001000000010000002B -S31540009BF0010000000100000001000000010000001B -S31540009C00010000000100000001000000010000000A -S31540009C1001000000010000000100000001000000FA -S31540009C2001000000010000000100000001000000EA -S31540009C3001000000010000000100000001000000DA -S31540009C4001000000010000000100000001000000CA -S31540009C5001000000010000000100000001000000BA -S31540009C6001000000010000000100000001000000AA -S31540009C70010000000100000001000000010000009A -S31540009C80010000000100000001000000010000008A -S31540009C90010000000100000001000000010000007A -S31540009CA0010000000100000001000000010000006A -S31540009CB0010000000100000001000000010000005A -S31540009CC0010000000100000001000000010000004A -S31540009CD0010000000100000001000000010000003A -S31540009CE0010000000100000001000000010000002A -S31540009CF0010000000100000001000000010000001A -S31540009D000100000001000000010000000100000009 -S31540009D1001000000010000000100000001000000F9 -S31540009D2001000000010000000100000001000000E9 -S31540009D3001000000010000000100000001000000D9 -S31540009D4001000000010000000100000001000000C9 -S31540009D5001000000010000000100000001000000B9 -S31540009D6001000000010000000100000001000000A9 -S31540009D700100000001000000010000000100000099 -S31540009D800100000001000000010000000100000089 -S31540009D900100000001000000010000000100000079 -S31540009DA00100000001000000010000000100000069 -S31540009DB00100000001000000010000000100000059 -S31540009DC00100000001000000010000000100000049 -S31540009DD00100000001000000010000000100000039 -S31540009DE00100000001000000010000000100000029 -S31540009DF00100000001000000010000000100000019 -S31540009E000100000001000000010000000100000008 -S31540009E1001000000010000000100000001000000F8 -S31540009E2001000000010000000100000001000000E8 -S31540009E3001000000010000000100000001000000D8 -S31540009E4001000000010000000100000001000000C8 -S31540009E5001000000010000000100000001000000B8 -S31540009E6001000000010000000100000001000000A8 -S31540009E700100000001000000010000000100000098 -S31540009E800100000001000000010000000100000088 -S31540009E900100000001000000010000000100000078 -S31540009EA00100000001000000010000000100000068 -S31540009EB00100000001000000010000000100000058 -S31540009EC00100000001000000010000000100000048 -S31540009ED00100000001000000010000000100000038 -S31540009EE00100000001000000010000000100000028 -S31540009EF00100000001000000010000000100000018 -S31540009F000100000001000000010000000100000007 -S31540009F1001000000010000000100000001000000F7 -S31540009F2001000000010000000100000001000000E7 -S31540009F3001000000010000000100000001000000D7 -S31540009F4001000000010000000100000001000000C7 -S31540009F5001000000010000000100000001000000B7 -S31540009F6001000000010000000100000001000000A7 -S31540009F700100000001000000010000000100000097 -S31540009F800100000001000000010000000100000087 -S31540009F900100000001000000010000000100000077 -S31540009FA00100000001000000010000000100000067 -S31540009FB00100000001000000010000000100000057 -S31540009FC00100000001000000010000000100000047 -S31540009FD00100000001000000010000000100000037 -S31540009FE00100000001000000010000000100000027 -S31540009FF00100000001000000010000000100000017 -S3154000A0000100000001000000010000000100000006 -S3154000A01001000000010000000100000001000000F6 -S3154000A02001000000010000000100000001000000E6 -S3154000A03001000000010000000100000001000000D6 -S3154000A04001000000010000000100000001000000C6 -S3154000A05001000000010000000100000001000000B6 -S3154000A06001000000010000000100000001000000A6 -S3154000A0700100000001000000010000000100000096 -S3154000A0800100000001000000010000000100000086 -S3154000A0900100000001000000010000000100000076 -S3154000A0A00100000001000000010000000100000066 -S3154000A0B00100000001000000010000000100000056 -S3154000A0C00100000001000000010000000100000046 -S3154000A0D00100000001000000010000000100000036 -S3154000A0E00100000001000000010000000100000026 -S3154000A0F00100000001000000010000000100000016 -S3154000A1000100000001000000010000000100000005 -S3154000A11001000000010000000100000001000000F5 -S3154000A12001000000010000000100000001000000E5 -S3154000A13001000000010000000100000001000000D5 -S3154000A14001000000010000000100000001000000C5 -S3154000A15001000000010000000100000001000000B5 -S3154000A16001000000010000000100000001000000A5 -S3154000A1700100000001000000010000000100000095 -S3154000A1800100000001000000010000000100000085 -S3154000A1900100000001000000010000000100000075 -S3154000A1A00100000001000000010000000100000065 -S3154000A1B00100000001000000010000000100000055 -S3154000A1C00100000001000000010000000100000045 -S3154000A1D00100000001000000010000000100000035 -S3154000A1E00100000001000000010000000100000025 -S3154000A1F00100000001000000010000000100000015 -S3154000A2000100000001000000010000000100000004 -S3154000A21001000000010000000100000001000000F4 -S3154000A22001000000010000000100000001000000E4 -S3154000A23001000000010000000100000001000000D4 -S3154000A24001000000010000000100000001000000C4 -S3154000A25001000000010000000100000001000000B4 -S3154000A26001000000010000000100000001000000A4 -S3154000A2700100000001000000010000000100000094 -S3154000A2800100000001000000010000000100000084 -S3154000A2900100000001000000010000000100000074 -S3154000A2A00100000001000000010000000100000064 -S3154000A2B00100000001000000010000000100000054 -S3154000A2C00100000001000000010000000100000044 -S3154000A2D00100000001000000010000000100000034 -S3154000A2E00100000001000000010000000100000024 -S3154000A2F00100000001000000010000000100000014 -S3154000A3000100000001000000010000000100000003 -S3154000A31001000000010000000100000001000000F3 -S3154000A32001000000010000000100000001000000E3 -S3154000A33001000000010000000100000001000000D3 -S3154000A34001000000010000000100000001000000C3 -S3154000A35001000000010000000100000001000000B3 -S3154000A36001000000010000000100000001000000A3 -S3154000A3700100000001000000010000000100000093 -S3154000A3800100000001000000010000000100000083 -S3154000A3900100000001000000010000000100000073 -S3154000A3A00100000001000000010000000100000063 -S3154000A3B00100000001000000010000000100000053 -S3154000A3C00100000001000000010000000100000043 -S3154000A3D00100000001000000010000000100000033 -S3154000A3E00100000001000000010000000100000023 -S3154000A3F00100000001000000010000000100000013 -S3154000A4000100000001000000010000000100000002 -S3154000A41001000000010000000100000001000000F2 -S3154000A42001000000010000000100000001000000E2 -S3154000A43001000000010000000100000001000000D2 -S3154000A44001000000010000000100000001000000C2 -S3154000A45001000000010000000100000001000000B2 -S3154000A46001000000010000000100000001000000A2 -S3154000A4700100000001000000010000000100000092 -S3154000A4800100000001000000010000000100000082 -S3154000A4900100000001000000010000000100000072 -S3154000A4A00100000001000000010000000100000062 -S3154000A4B00100000001000000010000000100000052 -S3154000A4C00100000001000000010000000100000042 -S3154000A4D00100000001000000010000000100000032 -S3154000A4E00100000001000000010000000100000022 -S3154000A4F00100000001000000010000000100000012 -S3154000A5000100000001000000010000000100000001 -S3154000A51001000000010000000100000001000000F1 -S3154000A52001000000010000000100000001000000E1 -S3154000A53001000000010000000100000001000000D1 -S3154000A54001000000010000000100000001000000C1 -S3154000A55001000000010000000100000001000000B1 -S3154000A56001000000010000000100000001000000A1 -S3154000A5700100000001000000010000000100000091 -S3154000A5800100000001000000010000000100000081 -S3154000A5900100000001000000010000000100000071 -S3154000A5A00100000001000000010000000100000061 -S3154000A5B00100000001000000010000000100000051 -S3154000A5C00100000001000000010000000100000041 -S3154000A5D00100000001000000010000000100000031 -S3154000A5E00100000001000000010000000100000021 -S3154000A5F00100000001000000010000000100000011 -S3154000A6000100000001000000010000000100000000 -S3154000A61001000000010000000100000001000000F0 -S3154000A62001000000010000000100000001000000E0 -S3154000A63001000000010000000100000001000000D0 -S3154000A64001000000010000000100000001000000C0 -S3154000A65001000000010000000100000001000000B0 -S3154000A66001000000010000000100000001000000A0 -S3154000A6700100000001000000010000000100000090 -S3154000A6800100000001000000010000000100000080 -S3154000A6900100000001000000010000000100000070 -S3154000A6A00100000001000000010000000100000060 -S3154000A6B00100000001000000010000000100000050 -S3154000A6C00100000001000000010000000100000040 -S3154000A6D00100000001000000010000000100000030 -S3154000A6E00100000001000000010000000100000020 -S3154000A6F00100000001000000010000000100000010 -S3154000A70001000000010000000100000001000000FF -S3154000A71001000000010000000100000001000000EF -S3154000A72001000000010000000100000001000000DF -S3154000A73001000000010000000100000001000000CF -S3154000A74001000000010000000100000001000000BF -S3154000A75001000000010000000100000001000000AF -S3154000A760010000000100000001000000010000009F -S3154000A770010000000100000001000000010000008F -S3154000A780010000000100000001000000010000007F -S3154000A790010000000100000001000000010000006F -S3154000A7A0010000000100000001000000010000005F -S3154000A7B0010000000100000001000000010000004F -S3154000A7C0010000000100000001000000010000003F -S3154000A7D0010000000100000001000000010000002F -S3154000A7E0010000000100000001000000010000001F -S3154000A7F0010000000100000001000000010000000F -S3154000A80001000000010000000100000001000000FE -S3154000A81001000000010000000100000001000000EE -S3154000A82001000000010000000100000001000000DE -S3154000A83001000000010000000100000001000000CE -S3154000A84001000000010000000100000001000000BE -S3154000A85001000000010000000100000001000000AE -S3154000A860010000000100000001000000010000009E -S3154000A870010000000100000001000000010000008E -S3154000A880010000000100000001000000010000007E -S3154000A890010000000100000001000000010000006E -S3154000A8A0010000000100000001000000010000005E -S3154000A8B0010000000100000001000000010000004E -S3154000A8C0010000000100000001000000010000003E -S3154000A8D0010000000100000001000000010000002E -S3154000A8E0010000000100000001000000010000001E -S3154000A8F0010000000100000001000000010000000E -S3154000A90001000000010000000100000001000000FD -S3154000A91001000000010000000100000001000000ED -S3154000A92001000000010000000100000001000000DD -S3154000A93001000000010000000100000001000000CD -S3154000A94001000000010000000100000001000000BD -S3154000A95001000000010000000100000001000000AD -S3154000A960010000000100000001000000010000009D -S3154000A970010000000100000001000000010000008D -S3154000A980010000000100000001000000010000007D -S3154000A990010000000100000001000000010000006D -S3154000A9A0010000000100000001000000010000005D -S3154000A9B0010000000100000001000000010000004D -S3154000A9C0010000000100000001000000010000003D -S3154000A9D0010000000100000001000000010000002D -S3154000A9E0010000000100000001000000010000001D -S3154000A9F0010000000100000001000000010000000D -S3154000AA0001000000010000000100000001000000FC -S3154000AA1001000000010000000100000001000000EC -S3154000AA2001000000010000000100000001000000DC -S3154000AA3001000000010000000100000001000000CC -S3154000AA4001000000010000000100000001000000BC -S3154000AA5001000000010000000100000001000000AC -S3154000AA60010000000100000001000000010000009C -S3154000AA70010000000100000001000000010000008C -S3154000AA80010000000100000001000000010000007C -S3154000AA90010000000100000001000000010000006C -S3154000AAA0010000000100000001000000010000005C -S3154000AAB0010000000100000001000000010000004C -S3154000AAC0010000000100000001000000010000003C -S3154000AAD0010000000100000001000000010000002C -S3154000AAE0010000000100000001000000010000001C -S3154000AAF0010000000100000001000000010000000C -S3154000AB0001000000010000000100000001000000FB -S3154000AB1001000000010000000100000001000000EB -S3154000AB2001000000010000000100000001000000DB -S3154000AB3001000000010000000100000001000000CB -S3154000AB4001000000010000000100000001000000BB -S3154000AB5001000000010000000100000001000000AB -S3154000AB60010000000100000001000000010000009B -S3154000AB70010000000100000001000000010000008B -S3154000AB80010000000100000001000000010000007B -S3154000AB90010000000100000001000000010000006B -S3154000ABA0010000000100000001000000010000005B -S3154000ABB0010000000100000001000000010000004B -S3154000ABC0010000000100000001000000010000003B -S3154000ABD0010000000100000001000000010000002B -S3154000ABE0010000000100000001000000010000001B -S3154000ABF0010000000100000001000000010000000B -S3154000AC0001000000010000000100000001000000FA -S3154000AC1001000000010000000100000001000000EA -S3154000AC2001000000010000000100000001000000DA -S3154000AC3001000000010000000100000001000000CA -S3154000AC4001000000010000000100000001000000BA -S3154000AC5001000000010000000100000001000000AA -S3154000AC60010000000100000001000000010000009A -S3154000AC70010000000100000001000000010000008A -S3154000AC80010000000100000001000000010000007A -S3154000AC90010000000100000001000000010000006A -S3154000ACA0010000000100000001000000010000005A -S3154000ACB0010000000100000001000000010000004A -S3154000ACC0010000000100000001000000010000003A -S3154000ACD0010000000100000001000000010000002A -S3154000ACE0010000000100000001000000010000001A -S3154000ACF0010000000100000001000000010000000A -S3154000AD0001000000010000000100000001000000F9 -S3154000AD1001000000010000000100000001000000E9 -S3154000AD2001000000010000000100000001000000D9 -S3154000AD3001000000010000000100000001000000C9 -S3154000AD4001000000010000000100000001000000B9 -S3154000AD5001000000010000000100000001000000A9 -S3154000AD600100000001000000010000000100000099 -S3154000AD700100000001000000010000000100000089 -S3154000AD800100000001000000010000000100000079 -S3154000AD900100000001000000010000000100000069 -S3154000ADA00100000001000000010000000100000059 -S3154000ADB00100000001000000010000000100000049 -S3154000ADC00100000001000000010000000100000039 -S3154000ADD00100000001000000010000000100000029 -S3154000ADE00100000001000000010000000100000019 -S3154000ADF00100000001000000010000000100000009 -S3154000AE0001000000010000000100000001000000F8 -S3154000AE1001000000010000000100000001000000E8 -S3154000AE2001000000010000000100000001000000D8 -S3154000AE3001000000010000000100000001000000C8 -S3154000AE4001000000010000000100000001000000B8 -S3154000AE5001000000010000000100000001000000A8 -S3154000AE600100000001000000010000000100000098 -S3154000AE700100000001000000010000000100000088 -S3154000AE800100000001000000010000000100000078 -S3154000AE900100000001000000010000000100000068 -S3154000AEA00100000001000000010000000100000058 -S3154000AEB00100000001000000010000000100000048 -S3154000AEC00100000001000000010000000100000038 -S3154000AED00100000001000000010000000100000028 -S3154000AEE00100000001000000010000000100000018 -S3154000AEF00100000001000000010000000100000008 -S3154000AF0001000000010000000100000001000000F7 -S3154000AF1001000000010000000100000001000000E7 -S3154000AF2001000000010000000100000001000000D7 -S3154000AF3001000000010000000100000001000000C7 -S3154000AF4001000000010000000100000001000000B7 -S3154000AF5001000000010000000100000001000000A7 -S3154000AF600100000001000000010000000100000097 -S3154000AF700100000001000000010000000100000087 -S3154000AF800100000001000000010000000100000077 -S3154000AF900100000001000000010000000100000067 -S3154000AFA00100000001000000010000000100000057 -S3154000AFB00100000001000000010000000100000047 -S3154000AFC00100000001000000010000000100000037 -S3154000AFD00100000001000000010000000100000027 -S3154000AFE00100000001000000010000000100000017 -S3154000AFF00100000001000000010000000100000007 -S3154000B00001000000010000000100000001000000F6 -S3154000B01001000000010000000100000001000000E6 -S3154000B02001000000010000000100000001000000D6 -S3154000B03001000000010000000100000001000000C6 -S3154000B04001000000010000000100000001000000B6 -S3154000B05001000000010000000100000001000000A6 -S3154000B0600100000001000000010000000100000096 -S3154000B0700100000001000000010000000100000086 -S3154000B0800100000001000000010000000100000076 -S3154000B0900100000001000000010000000100000066 -S3154000B0A00100000001000000010000000100000056 -S3154000B0B00100000001000000010000000100000046 -S3154000B0C00100000001000000010000000100000036 -S3154000B0D00100000001000000010000000100000026 -S3154000B0E00100000001000000010000000100000016 -S3154000B0F00100000001000000010000000100000006 -S3154000B10001000000010000000100000001000000F5 -S3154000B11001000000010000000100000001000000E5 -S3154000B12001000000010000000100000001000000D5 -S3154000B13001000000010000000100000001000000C5 -S3154000B14001000000010000000100000001000000B5 -S3154000B15001000000010000000100000001000000A5 -S3154000B1600100000001000000010000000100000095 -S3154000B1700100000001000000010000000100000085 -S3154000B1800100000001000000010000000100000075 -S3154000B1900100000001000000010000000100000065 -S3154000B1A00100000001000000010000000100000055 -S3154000B1B00100000001000000010000000100000045 -S3154000B1C00100000001000000010000000100000035 -S3154000B1D00100000001000000010000000100000025 -S3154000B1E00100000001000000010000000100000015 -S3154000B1F00100000001000000010000000100000005 -S3154000B20001000000010000000100000001000000F4 -S3154000B21001000000010000000100000001000000E4 -S3154000B22001000000010000000100000001000000D4 -S3154000B23001000000010000000100000001000000C4 -S3154000B24001000000010000000100000001000000B4 -S3154000B25001000000010000000100000001000000A4 -S3154000B2600100000001000000010000000100000094 -S3154000B2700100000001000000010000000100000084 -S3154000B2800100000001000000010000000100000074 -S3154000B2900100000001000000010000000100000064 -S3154000B2A00100000001000000010000000100000054 -S3154000B2B00100000001000000010000000100000044 -S3154000B2C00100000001000000010000000100000034 -S3154000B2D00100000001000000010000000100000024 -S3154000B2E00100000001000000010000000100000014 -S3154000B2F00100000001000000010000000100000004 -S3154000B30001000000010000000100000001000000F3 -S3154000B31001000000010000000100000001000000E3 -S3154000B32001000000010000000100000001000000D3 -S3154000B33001000000010000000100000001000000C3 -S3154000B34001000000010000000100000001000000B3 -S3154000B35001000000010000000100000001000000A3 -S3154000B3600100000001000000010000000100000093 -S3154000B3700100000001000000010000000100000083 -S3154000B3800100000001000000010000000100000073 -S3154000B3900100000001000000010000000100000063 -S3154000B3A00100000001000000010000000100000053 -S3154000B3B00100000001000000010000000100000043 -S3154000B3C00100000001000000010000000100000033 -S3154000B3D00100000001000000010000000100000023 -S3154000B3E00100000001000000010000000100000013 -S3154000B3F00100000001000000010000000100000003 -S3154000B40001000000010000000100000001000000F2 -S3154000B41001000000010000000100000001000000E2 -S3154000B42001000000010000000100000001000000D2 -S3154000B43001000000010000000100000001000000C2 -S3154000B44001000000010000000100000001000000B2 -S3154000B45001000000010000000100000001000000A2 -S3154000B4600100000001000000010000000100000092 -S3154000B4700100000001000000010000000100000082 -S3154000B4800100000001000000010000000100000072 -S3154000B4900100000001000000010000000100000062 -S3154000B4A00100000001000000010000000100000052 -S3154000B4B00100000001000000010000000100000042 -S3154000B4C00100000001000000010000000100000032 -S3154000B4D00100000001000000010000000100000022 -S3154000B4E00100000001000000010000000100000012 -S3154000B4F00100000001000000010000000100000002 -S3154000B50001000000010000000100000001000000F1 -S3154000B51001000000010000000100000001000000E1 -S3154000B52001000000010000000100000001000000D1 -S3154000B53001000000010000000100000001000000C1 -S3154000B54001000000010000000100000001000000B1 -S3154000B55001000000010000000100000001000000A1 -S3154000B5600100000001000000010000000100000091 -S3154000B5700100000001000000010000000100000081 -S3154000B5800100000001000000010000000100000071 -S3154000B5900100000001000000010000000100000061 -S3154000B5A00100000001000000010000000100000051 -S3154000B5B00100000001000000010000000100000041 -S3154000B5C00100000001000000010000000100000031 -S3154000B5D00100000001000000010000000100000021 -S3154000B5E00100000001000000010000000100000011 -S3154000B5F00100000001000000010000000100000001 -S3154000B60001000000010000000100000001000000F0 -S3154000B61001000000010000000100000001000000E0 -S3154000B62001000000010000000100000001000000D0 -S3154000B63001000000010000000100000001000000C0 -S3154000B64001000000010000000100000001000000B0 -S3154000B65001000000010000000100000001000000A0 -S3154000B6600100000001000000010000000100000090 -S3154000B6700100000001000000010000000100000080 -S3154000B6800100000001000000010000000100000070 -S3154000B6900100000001000000010000000100000060 -S3154000B6A00100000001000000010000000100000050 -S3154000B6B00100000001000000010000000100000040 -S3154000B6C00100000001000000010000000100000030 -S3154000B6D00100000001000000010000000100000020 -S3154000B6E00100000001000000010000000100000010 -S3154000B6F00100000001000000010000000100000000 -S3154000B70001000000010000000100000001000000EF -S3154000B71001000000010000000100000001000000DF -S3154000B72001000000010000000100000001000000CF -S3154000B73001000000010000000100000001000000BF -S3154000B74001000000010000000100000001000000AF -S3154000B750010000000100000001000000010000009F -S3154000B760010000000100000001000000010000008F -S3154000B770010000000100000001000000010000007F -S3154000B780010000000100000001000000010000006F -S3154000B790010000000100000001000000010000005F -S3154000B7A0010000000100000001000000010000004F -S3154000B7B0010000000100000001000000010000003F -S3154000B7C0010000000100000001000000010000002F -S3154000B7D0010000000100000001000000010000001F -S3154000B7E0010000000100000001000000010000000F -S3154000B7F001000000010000000100000001000000FF -S3154000B80001000000010000000100000001000000EE -S3154000B81001000000010000000100000001000000DE -S3154000B82001000000010000000100000001000000CE -S3154000B83001000000010000000100000001000000BE -S3154000B84001000000010000000100000001000000AE -S3154000B850010000000100000001000000010000009E -S3154000B860010000000100000001000000010000008E -S3154000B870010000000100000001000000010000007E -S3154000B880010000000100000001000000010000006E -S3154000B890010000000100000001000000010000005E -S3154000B8A0010000000100000001000000010000004E -S3154000B8B0010000000100000001000000010000003E -S3154000B8C0010000000100000001000000010000002E -S3154000B8D0010000000100000001000000010000001E -S3154000B8E0010000000100000001000000010000000E -S3154000B8F001000000010000000100000001000000FE -S3154000B90001000000010000000100000001000000ED -S3154000B91001000000010000000100000001000000DD -S3154000B92001000000010000000100000001000000CD -S3154000B93001000000010000000100000001000000BD -S3154000B94001000000010000000100000001000000AD -S3154000B950010000000100000001000000010000009D -S3154000B960010000000100000001000000010000008D -S3154000B970010000000100000001000000010000007D -S3154000B980010000000100000001000000010000006D -S3154000B990010000000100000001000000010000005D -S3154000B9A0010000000100000001000000010000004D -S3154000B9B0010000000100000001000000010000003D -S3154000B9C0010000000100000001000000010000002D -S3154000B9D0010000000100000001000000010000001D -S3154000B9E0010000000100000001000000010000000D -S3154000B9F001000000010000000100000001000000FD -S3154000BA0001000000010000000100000001000000EC -S3154000BA1001000000010000000100000001000000DC -S3154000BA2001000000010000000100000001000000CC -S3154000BA3001000000010000000100000001000000BC -S3154000BA4001000000010000000100000001000000AC -S3154000BA50010000000100000001000000010000009C -S3154000BA60010000000100000001000000010000008C -S3154000BA70010000000100000001000000010000007C -S3154000BA80010000000100000001000000010000006C -S3154000BA90010000000100000001000000010000005C -S3154000BAA0010000000100000001000000010000004C -S3154000BAB0010000000100000001000000010000003C -S3154000BAC0010000000100000001000000010000002C -S3154000BAD0010000000100000001000000010000001C -S3154000BAE0010000000100000001000000010000000C -S3154000BAF001000000010000000100000001000000FC -S3154000BB0001000000010000000100000001000000EB -S3154000BB1001000000010000000100000001000000DB -S3154000BB2001000000010000000100000001000000CB -S3154000BB3001000000010000000100000001000000BB -S3154000BB4001000000010000000100000001000000AB -S3154000BB50010000000100000001000000010000009B -S3154000BB60010000000100000001000000010000008B -S3154000BB70010000000100000001000000010000007B -S3154000BB80010000000100000001000000010000006B -S3154000BB90010000000100000001000000010000005B -S3154000BBA0010000000100000001000000010000004B -S3154000BBB0010000000100000001000000010000003B -S3154000BBC0010000000100000001000000010000002B -S3154000BBD0010000000100000001000000010000001B -S3154000BBE0010000000100000001000000010000000B -S3154000BBF001000000010000000100000001000000FB -S3154000BC0001000000010000000100000001000000EA -S3154000BC1001000000010000000100000001000000DA -S3154000BC2001000000010000000100000001000000CA -S3154000BC3001000000010000000100000001000000BA -S3154000BC4001000000010000000100000001000000AA -S3154000BC50010000000100000001000000010000009A -S3154000BC60010000000100000001000000010000008A -S3154000BC70010000000100000001000000010000007A -S3154000BC80010000000100000001000000010000006A -S3154000BC90010000000100000001000000010000005A -S3154000BCA0010000000100000001000000010000004A -S3154000BCB0010000000100000001000000010000003A -S3154000BCC0010000000100000001000000010000002A -S3154000BCD0010000000100000001000000010000001A -S3154000BCE0010000000100000001000000010000000A -S3154000BCF001000000010000000100000001000000FA -S3154000BD0001000000010000000100000001000000E9 -S3154000BD1001000000010000000100000001000000D9 -S3154000BD2001000000010000000100000001000000C9 -S3154000BD3001000000010000000100000001000000B9 -S3154000BD4001000000010000000100000001000000A9 -S3154000BD500100000001000000010000000100000099 -S3154000BD600100000001000000010000000100000089 -S3154000BD700100000001000000010000000100000079 -S3154000BD800100000001000000010000000100000069 -S3154000BD900100000001000000010000000100000059 -S3154000BDA00100000001000000010000000100000049 -S3154000BDB00100000001000000010000000100000039 -S3154000BDC00100000001000000010000000100000029 -S3154000BDD00100000001000000010000000100000019 -S3154000BDE00100000001000000010000000100000009 -S3154000BDF001000000010000000100000001000000F9 -S3154000BE0001000000010000000100000001000000E8 -S3154000BE1001000000010000000100000001000000D8 -S3154000BE2001000000010000000100000001000000C8 -S3154000BE3001000000010000000100000001000000B8 -S3154000BE4001000000010000000100000001000000A8 -S3154000BE500100000001000000010000000100000098 -S3154000BE600100000001000000010000000100000088 -S3154000BE700100000001000000010000000100000078 -S3154000BE800100000001000000010000000100000068 -S3154000BE900100000001000000010000000100000058 -S3154000BEA00100000001000000010000000100000048 -S3154000BEB00100000001000000010000000100000038 -S3154000BEC00100000001000000010000000100000028 -S3154000BED00100000001000000010000000100000018 -S3154000BEE00100000001000000010000000100000008 -S3154000BEF001000000010000000100000001000000F8 -S3154000BF0001000000010000000100000001000000E7 -S3154000BF1001000000010000000100000001000000D7 -S3154000BF2001000000010000000100000001000000C7 -S3154000BF3001000000010000000100000001000000B7 -S3154000BF4001000000010000000100000001000000A7 -S3154000BF500100000001000000010000000100000097 -S3154000BF600100000001000000010000000100000087 -S3154000BF700100000001000000010000000100000077 -S3154000BF800100000001000000010000000100000067 -S3154000BF900100000001000000010000000100000057 -S3154000BFA00100000001000000010000000100000047 -S3154000BFB00100000001000000010000000100000037 -S3154000BFC00100000001000000010000000100000027 -S3154000BFD00100000001000000010000000100000017 -S3154000BFE00100000001000000010000000100000007 -S3154000BFF001000000010000000100000001000000F7 -S3154000C00001000000010000000100000001000000E6 -S3154000C01001000000010000000100000001000000D6 -S3154000C02001000000010000000100000001000000C6 -S3154000C03001000000010000000100000001000000B6 -S3154000C04001000000010000000100000001000000A6 -S3154000C0500100000001000000010000000100000096 -S3154000C0600100000001000000010000000100000086 -S3154000C0700100000001000000010000000100000076 -S3154000C0800100000001000000010000000100000066 -S3154000C0900100000001000000010000000100000056 -S3154000C0A00100000001000000010000000100000046 -S3154000C0B00100000001000000010000000100000036 -S3154000C0C00100000001000000010000000100000026 -S3154000C0D00100000001000000010000000100000016 -S3154000C0E00100000001000000010000000100000006 -S3154000C0F001000000010000000100000001000000F6 -S3154000C10001000000010000000100000001000000E5 -S3154000C11001000000010000000100000001000000D5 -S3154000C12001000000010000000100000001000000C5 -S3154000C13001000000010000000100000001000000B5 -S3154000C14001000000010000000100000001000000A5 -S3154000C1500100000001000000010000000100000095 -S3154000C1600100000001000000010000000100000085 -S3154000C1700100000001000000010000000100000075 -S3154000C1800100000001000000010000000100000065 -S3154000C1900100000001000000010000000100000055 -S3154000C1A00100000001000000010000000100000045 -S3154000C1B00100000001000000010000000100000035 -S3154000C1C00100000001000000010000000100000025 -S3154000C1D00100000001000000010000000100000015 -S3154000C1E00100000001000000010000000100000005 -S3154000C1F001000000010000000100000001000000F5 -S3154000C20001000000010000000100000001000000E4 -S3154000C21001000000010000000100000001000000D4 -S3154000C22001000000010000000100000001000000C4 -S3154000C23001000000010000000100000001000000B4 -S3154000C24001000000010000000100000001000000A4 -S3154000C2500100000001000000010000000100000094 -S3154000C2600100000001000000010000000100000084 -S3154000C2700100000001000000010000000100000074 -S3154000C2800100000001000000010000000100000064 -S3154000C2900100000001000000010000000100000054 -S3154000C2A00100000001000000010000000100000044 -S3154000C2B00100000001000000010000000100000034 -S3154000C2C00100000001000000010000000100000024 -S3154000C2D00100000001000000010000000100000014 -S3154000C2E00100000001000000010000000100000004 -S3154000C2F001000000010000000100000001000000F4 -S3154000C30001000000010000000100000001000000E3 -S3154000C31001000000010000000100000001000000D3 -S3154000C32001000000010000000100000001000000C3 -S3154000C33001000000010000000100000001000000B3 -S3154000C34001000000010000000100000001000000A3 -S3154000C3500100000001000000010000000100000093 -S3154000C3600100000001000000010000000100000083 -S3154000C3700100000001000000010000000100000073 -S3154000C3800100000001000000010000000100000063 -S3154000C3900100000001000000010000000100000053 -S3154000C3A00100000001000000010000000100000043 -S3154000C3B00100000001000000010000000100000033 -S3154000C3C00100000001000000010000000100000023 -S3154000C3D00100000001000000010000000100000013 -S3154000C3E00100000001000000010000000100000003 -S3154000C3F001000000010000000100000001000000F3 -S3154000C40001000000010000000100000001000000E2 -S3154000C41001000000010000000100000001000000D2 -S3154000C42001000000010000000100000001000000C2 -S3154000C43001000000010000000100000001000000B2 -S3154000C44001000000010000000100000001000000A2 -S3154000C4500100000001000000010000000100000092 -S3154000C4600100000001000000010000000100000082 -S3154000C4700100000001000000010000000100000072 -S3154000C4800100000001000000010000000100000062 -S3154000C4900100000001000000010000000100000052 -S3154000C4A00100000001000000010000000100000042 -S3154000C4B00100000001000000010000000100000032 -S3154000C4C00100000001000000010000000100000022 -S3154000C4D00100000001000000010000000100000012 -S3154000C4E00100000001000000010000000100000002 -S3154000C4F001000000010000000100000001000000F2 -S3154000C50001000000010000000100000001000000E1 -S3154000C51001000000010000000100000001000000D1 -S3154000C52001000000010000000100000001000000C1 -S3154000C53001000000010000000100000001000000B1 -S3154000C54001000000010000000100000001000000A1 -S3154000C5500100000001000000010000000100000091 -S3154000C5600100000001000000010000000100000081 -S3154000C5700100000001000000010000000100000071 -S3154000C5800100000001000000010000000100000061 -S3154000C5900100000001000000010000000100000051 -S3154000C5A00100000001000000010000000100000041 -S3154000C5B00100000001000000010000000100000031 -S3154000C5C00100000001000000010000000100000021 -S3154000C5D00100000001000000010000000100000011 -S3154000C5E00100000001000000010000000100000001 -S3154000C5F001000000010000000100000001000000F1 -S3154000C60001000000010000000100000001000000E0 -S3154000C61001000000010000000100000001000000D0 -S3154000C62001000000010000000100000001000000C0 -S3154000C63001000000010000000100000001000000B0 -S3154000C64001000000010000000100000001000000A0 -S3154000C6500100000001000000010000000100000090 -S3154000C6600100000001000000010000000100000080 -S3154000C6700100000001000000010000000100000070 -S3154000C6800100000001000000010000000100000060 -S3154000C6900100000001000000010000000100000050 -S3154000C6A00100000001000000010000000100000040 -S3154000C6B00100000001000000010000000100000030 -S3154000C6C00100000001000000010000000100000020 -S3154000C6D00100000001000000010000000100000010 -S3154000C6E00100000001000000010000000100000000 -S3154000C6F001000000010000000100000001000000F0 -S3154000C70001000000010000000100000001000000DF -S3154000C71001000000010000000100000001000000CF -S3154000C72001000000010000000100000001000000BF -S3154000C73001000000010000000100000001000000AF -S3154000C740010000000100000001000000010000009F -S3154000C750010000000100000001000000010000008F -S3154000C760010000000100000001000000010000007F -S3154000C770010000000100000001000000010000006F -S3154000C780010000000100000001000000010000005F -S3154000C790010000000100000001000000010000004F -S3154000C7A0010000000100000001000000010000003F -S3154000C7B0010000000100000001000000010000002F -S3154000C7C0010000000100000001000000010000001F -S3154000C7D0010000000100000001000000010000000F -S3154000C7E001000000010000000100000001000000FF -S3154000C7F001000000010000000100000001000000EF -S3154000C80001000000010000000100000001000000DE -S3154000C81001000000010000000100000001000000CE -S3154000C82001000000010000000100000001000000BE -S3154000C83001000000010000000100000001000000AE -S3154000C840010000000100000001000000010000009E -S3154000C850010000000100000001000000010000008E -S3154000C860010000000100000001000000010000007E -S3154000C870010000000100000001000000010000006E -S3154000C880010000000100000001000000010000005E -S3154000C890010000000100000001000000010000004E -S3154000C8A0010000000100000001000000010000003E -S3154000C8B0010000000100000001000000010000002E -S3154000C8C0010000000100000001000000010000001E -S3154000C8D0010000000100000001000000010000000E -S3154000C8E001000000010000000100000001000000FE -S3154000C8F001000000010000000100000001000000EE -S3154000C90001000000010000000100000001000000DD -S3154000C91001000000010000000100000001000000CD -S3154000C92001000000010000000100000001000000BD -S3154000C93001000000010000000100000001000000AD -S3154000C940010000000100000001000000010000009D -S3154000C950010000000100000001000000010000008D -S3154000C960010000000100000001000000010000007D -S3154000C970010000000100000001000000010000006D -S3154000C980010000000100000001000000010000005D -S3154000C990010000000100000001000000010000004D -S3154000C9A0010000000100000001000000010000003D -S3154000C9B0010000000100000001000000010000002D -S3154000C9C0010000000100000001000000010000001D -S3154000C9D0010000000100000001000000010000000D -S3154000C9E001000000010000000100000001000000FD -S3154000C9F001000000010000000100000001000000ED -S3154000CA0001000000010000000100000001000000DC -S3154000CA1001000000010000000100000001000000CC -S3154000CA2001000000010000000100000001000000BC -S3154000CA3001000000010000000100000001000000AC -S3154000CA40010000000100000001000000010000009C -S3154000CA50010000000100000001000000010000008C -S3154000CA60010000000100000001000000010000007C -S3154000CA70010000000100000001000000010000006C -S3154000CA80010000000100000001000000010000005C -S3154000CA90010000000100000001000000010000004C -S3154000CAA0010000000100000001000000010000003C -S3154000CAB0010000000100000001000000010000002C -S3154000CAC0010000000100000001000000010000001C -S3154000CAD0010000000100000001000000010000000C -S3154000CAE001000000010000000100000001000000FC -S3154000CAF001000000010000000100000001000000EC -S3154000CB0001000000010000000100000001000000DB -S3154000CB1001000000010000000100000001000000CB -S3154000CB2001000000010000000100000001000000BB -S3154000CB3001000000010000000100000001000000AB -S3154000CB40010000000100000001000000010000009B -S3154000CB50010000000100000001000000010000008B -S3154000CB60010000000100000001000000010000007B -S3154000CB70010000000100000001000000010000006B -S3154000CB80010000000100000001000000010000005B -S3154000CB90010000000100000001000000010000004B -S3154000CBA0010000000100000001000000010000003B -S3154000CBB0010000000100000001000000010000002B -S3154000CBC0010000000100000001000000010000001B -S3154000CBD0010000000100000001000000010000000B -S3154000CBE001000000010000000100000001000000FB -S3154000CBF001000000010000000100000001000000EB -S3154000CC0001000000010000000100000001000000DA -S3154000CC1001000000010000000100000001000000CA -S3154000CC2001000000010000000100000001000000BA -S3154000CC3001000000010000000100000001000000AA -S3154000CC40010000000100000001000000010000009A -S3154000CC50010000000100000001000000010000008A -S3154000CC60010000000100000001000000010000007A -S3154000CC70010000000100000001000000010000006A -S3154000CC80010000000100000001000000010000005A -S3154000CC90010000000100000001000000010000004A -S3154000CCA0010000000100000001000000010000003A -S3154000CCB0010000000100000001000000010000002A -S3154000CCC0010000000100000001000000010000001A -S3154000CCD0010000000100000001000000010000000A -S3154000CCE001000000010000000100000001000000FA -S3154000CCF001000000010000000100000001000000EA -S3154000CD0001000000010000000100000001000000D9 -S3154000CD1001000000010000000100000001000000C9 -S3154000CD2001000000010000000100000001000000B9 -S3154000CD3001000000010000000100000001000000A9 -S3154000CD400100000001000000010000000100000099 -S3154000CD500100000001000000010000000100000089 -S3154000CD600100000001000000010000000100000079 -S3154000CD700100000001000000010000000100000069 -S3154000CD800100000001000000010000000100000059 -S3154000CD900100000001000000010000000100000049 -S3154000CDA00100000001000000010000000100000039 -S3154000CDB00100000001000000010000000100000029 -S3154000CDC00100000001000000010000000100000019 -S3154000CDD00100000001000000010000000100000009 -S3154000CDE001000000010000000100000001000000F9 -S3154000CDF001000000010000000100000001000000E9 -S3154000CE0001000000010000000100000001000000D8 -S3154000CE1001000000010000000100000001000000C8 -S3154000CE2001000000010000000100000001000000B8 -S3154000CE3001000000010000000100000001000000A8 -S3154000CE400100000001000000010000000100000098 -S3154000CE500100000001000000010000000100000088 -S3154000CE600100000001000000010000000100000078 -S3154000CE700100000001000000010000000100000068 -S3154000CE800100000001000000010000000100000058 -S3154000CE900100000001000000010000000100000048 -S3154000CEA00100000001000000010000000100000038 -S3154000CEB00100000001000000010000000100000028 -S3154000CEC00100000001000000010000000100000018 -S3154000CED00100000001000000010000000100000008 -S3154000CEE001000000010000000100000001000000F8 -S3154000CEF001000000010000000100000001000000E8 -S3154000CF0001000000010000000100000001000000D7 -S3154000CF1001000000010000000100000001000000C7 -S3154000CF2001000000010000000100000001000000B7 -S3154000CF3001000000010000000100000001000000A7 -S3154000CF400100000001000000010000000100000097 -S3154000CF500100000001000000010000000100000087 -S3154000CF600100000001000000010000000100000077 -S3154000CF700100000001000000010000000100000067 -S3154000CF800100000001000000010000000100000057 -S3154000CF900100000001000000010000000100000047 -S3154000CFA00100000001000000010000000100000037 -S3154000CFB00100000001000000010000000100000027 -S3154000CFC00100000001000000010000000100000017 -S3154000CFD00100000001000000010000000100000007 -S3154000CFE001000000010000000100000001000000F7 -S3154000CFF001000000010000000100000001000000E7 -S3154000D00001000000010000000100000001000000D6 -S3154000D01001000000010000000100000001000000C6 -S3154000D02001000000010000000100000001000000B6 -S3154000D03001000000010000000100000001000000A6 -S3154000D0400100000001000000010000000100000096 -S3154000D0500100000001000000010000000100000086 -S3154000D0600100000001000000010000000100000076 -S3154000D0700100000001000000010000000100000066 -S3154000D0800100000001000000010000000100000056 -S3154000D0900100000001000000010000000100000046 -S3154000D0A00100000001000000010000000100000036 -S3154000D0B00100000001000000010000000100000026 -S3154000D0C00100000001000000010000000100000016 -S3154000D0D00100000001000000010000000100000006 -S3154000D0E001000000010000000100000001000000F6 -S3154000D0F001000000010000000100000001000000E6 -S3154000D10001000000010000000100000001000000D5 -S3154000D11001000000010000000100000001000000C5 -S3154000D12001000000010000000100000001000000B5 -S3154000D13001000000010000000100000001000000A5 -S3154000D1400100000001000000010000000100000095 -S3154000D1500100000001000000010000000100000085 -S3154000D1600100000001000000010000000100000075 -S3154000D1700100000001000000010000000100000065 -S3154000D1800100000001000000010000000100000055 -S3154000D1900100000001000000010000000100000045 -S3154000D1A00100000001000000010000000100000035 -S3154000D1B00100000001000000010000000100000025 -S3154000D1C00100000001000000010000000100000015 -S3154000D1D00100000001000000010000000100000005 -S3154000D1E001000000010000000100000001000000F5 -S3154000D1F001000000010000000100000001000000E5 -S3154000D20001000000010000000100000001000000D4 -S3154000D21001000000010000000100000001000000C4 -S3154000D22001000000010000000100000001000000B4 -S3154000D23001000000010000000100000001000000A4 -S3154000D2400100000001000000010000000100000094 -S3154000D2500100000001000000010000000100000084 -S3154000D2600100000001000000010000000100000074 -S3154000D2700100000001000000010000000100000064 -S3154000D2800100000001000000010000000100000054 -S3154000D2900100000001000000010000000100000044 -S3154000D2A00100000001000000010000000100000034 -S3154000D2B00100000001000000010000000100000024 -S3154000D2C00100000001000000010000000100000014 -S3154000D2D00100000001000000010000000100000004 -S3154000D2E001000000010000000100000001000000F4 -S3154000D2F001000000010000000100000001000000E4 -S3154000D30001000000010000000100000001000000D3 -S3154000D31001000000010000000100000001000000C3 -S3154000D32001000000010000000100000001000000B3 -S3154000D33001000000010000000100000001000000A3 -S3154000D3400100000001000000010000000100000093 -S3154000D3500100000001000000010000000100000083 -S3154000D3600100000001000000010000000100000073 -S3154000D3700100000001000000010000000100000063 -S3154000D3800100000001000000010000000100000053 -S3154000D3900100000001000000010000000100000043 -S3154000D3A00100000001000000010000000100000033 -S3154000D3B00100000001000000010000000100000023 -S3154000D3C00100000001000000010000000100000013 -S3154000D3D00100000001000000010000000100000003 -S3154000D3E001000000010000000100000001000000F3 -S3154000D3F001000000010000000100000001000000E3 -S3154000D40001000000010000000100000001000000D2 -S3154000D41001000000010000000100000001000000C2 -S3154000D42001000000010000000100000001000000B2 -S3154000D43001000000010000000100000001000000A2 -S3154000D4400100000001000000010000000100000092 -S3154000D4500100000001000000010000000100000082 -S3154000D4600100000001000000010000000100000072 -S3154000D4700100000001000000010000000100000062 -S3154000D4800100000001000000010000000100000052 -S3154000D4900100000001000000010000000100000042 -S3154000D4A00100000001000000010000000100000032 -S3154000D4B00100000001000000010000000100000022 -S3154000D4C00100000001000000010000000100000012 -S3154000D4D00100000001000000010000000100000002 -S3154000D4E001000000010000000100000001000000F2 -S3154000D4F001000000010000000100000001000000E2 -S3154000D50001000000010000000100000001000000D1 -S3154000D51001000000010000000100000001000000C1 -S3154000D52001000000010000000100000001000000B1 -S3154000D53001000000010000000100000001000000A1 -S3154000D5400100000001000000010000000100000091 -S3154000D5500100000001000000010000000100000081 -S3154000D5600100000001000000010000000100000071 -S3154000D5700100000001000000010000000100000061 -S3154000D5800100000001000000010000000100000051 -S3154000D5900100000001000000010000000100000041 -S3154000D5A00100000001000000010000000100000031 -S3154000D5B00100000001000000010000000100000021 -S3154000D5C00100000001000000010000000100000011 -S3154000D5D00100000001000000010000000100000001 -S3154000D5E001000000010000000100000001000000F1 -S3154000D5F001000000010000000100000001000000E1 -S3154000D60001000000010000000100000001000000D0 -S3154000D61001000000010000000100000001000000C0 -S3154000D62001000000010000000100000001000000B0 -S3154000D63001000000010000000100000001000000A0 -S3154000D6400100000001000000010000000100000090 -S3154000D6500100000001000000010000000100000080 -S3154000D6600100000001000000010000000100000070 -S3154000D6700100000001000000010000000100000060 -S3154000D6800100000001000000010000000100000050 -S3154000D6900100000001000000010000000100000040 -S3154000D6A00100000001000000010000000100000030 -S3154000D6B00100000001000000010000000100000020 -S3154000D6C00100000001000000010000000100000010 -S3154000D6D00100000001000000010000000100000000 -S3154000D6E001000000010000000100000001000000F0 -S3154000D6F001000000010000000100000001000000E0 -S3154000D70001000000010000000100000001000000CF -S3154000D71001000000010000000100000001000000BF -S3154000D72001000000010000000100000001000000AF -S3154000D730010000000100000001000000010000009F -S3154000D740010000000100000001000000010000008F -S3154000D750010000000100000001000000010000007F -S3154000D760010000000100000001000000010000006F -S3154000D770010000000100000001000000010000005F -S3154000D780010000000100000001000000010000004F -S3154000D790010000000100000001000000010000003F -S3154000D7A0010000000100000001000000010000002F -S3154000D7B0010000000100000001000000010000001F -S3154000D7C0010000000100000001000000010000000F -S3154000D7D001000000010000000100000001000000FF -S3154000D7E001000000010000000100000001000000EF -S3154000D7F001000000010000000100000001000000DF -S3154000D80001000000010000000100000001000000CE -S3154000D81001000000010000000100000001000000BE -S3154000D82001000000010000000100000001000000AE -S3154000D830010000000100000001000000010000009E -S3154000D840010000000100000001000000010000008E -S3154000D850010000000100000001000000010000007E -S3154000D860010000000100000001000000010000006E -S3154000D870010000000100000001000000010000005E -S3154000D880010000000100000001000000010000004E -S3154000D890010000000100000001000000010000003E -S3154000D8A0010000000100000001000000010000002E -S3154000D8B0010000000100000001000000010000001E -S3154000D8C0010000000100000001000000010000000E -S3154000D8D001000000010000000100000001000000FE -S3154000D8E001000000010000000100000001000000EE -S3154000D8F001000000010000000100000001000000DE -S3154000D90001000000010000000100000001000000CD -S3154000D91001000000010000000100000001000000BD -S3154000D92001000000010000000100000001000000AD -S3154000D930010000000100000001000000010000009D -S3154000D940010000000100000001000000010000008D -S3154000D950010000000100000001000000010000007D -S3154000D960010000000100000001000000010000006D -S3154000D970010000000100000001000000010000005D -S3154000D980010000000100000001000000010000004D -S3154000D990010000000100000001000000010000003D -S3154000D9A0010000000100000001000000010000002D -S3154000D9B0010000000100000001000000010000001D -S3154000D9C0010000000100000001000000010000000D -S3154000D9D001000000010000000100000001000000FD -S3154000D9E001000000010000000100000001000000ED -S3154000D9F001000000010000000100000001000000DD -S3154000DA0001000000010000000100000001000000CC -S3154000DA1001000000010000000100000001000000BC -S3154000DA2001000000010000000100000001000000AC -S3154000DA30010000000100000001000000010000009C -S3154000DA40010000000100000001000000010000008C -S3154000DA50010000000100000001000000010000007C -S3154000DA60010000000100000001000000010000006C -S3154000DA70010000000100000001000000010000005C -S3154000DA80010000000100000001000000010000004C -S3154000DA90010000000100000001000000010000003C -S3154000DAA0010000000100000001000000010000002C -S3154000DAB0010000000100000001000000010000001C -S3154000DAC0010000000100000001000000010000000C -S3154000DAD001000000010000000100000001000000FC -S3154000DAE001000000010000000100000001000000EC -S3154000DAF001000000010000000100000001000000DC -S3154000DB0001000000010000000100000001000000CB -S3154000DB1001000000010000000100000001000000BB -S3154000DB2001000000010000000100000001000000AB -S3154000DB30010000000100000001000000010000009B -S3154000DB40010000000100000001000000010000008B -S3154000DB50010000000100000001000000010000007B -S3154000DB60010000000100000001000000010000006B -S3154000DB70010000000100000001000000010000005B -S3154000DB80010000000100000001000000010000004B -S3154000DB90010000000100000001000000010000003B -S3154000DBA0010000000100000001000000010000002B -S3154000DBB0010000000100000001000000010000001B -S3154000DBC0010000000100000001000000010000000B -S3154000DBD001000000010000000100000001000000FB -S3154000DBE001000000010000000100000001000000EB -S3154000DBF001000000010000000100000001000000DB -S3154000DC0001000000010000000100000001000000CA -S3154000DC1001000000010000000100000001000000BA -S3154000DC2001000000010000000100000001000000AA -S3154000DC30010000000100000001000000010000009A -S3154000DC40010000000100000001000000010000008A -S3154000DC50010000000100000001000000010000007A -S3154000DC60010000000100000001000000010000006A -S3154000DC70010000000100000001000000010000005A -S3154000DC80010000000100000001000000010000004A -S3154000DC90010000000100000001000000010000003A -S3154000DCA0010000000100000001000000010000002A -S3154000DCB0010000000100000001000000010000001A -S3154000DCC0010000000100000001000000010000000A -S3154000DCD001000000010000000100000001000000FA -S3154000DCE001000000010000000100000001000000EA -S3154000DCF001000000010000000100000001000000DA -S3154000DD0001000000010000000100000001000000C9 -S3154000DD1001000000010000000100000001000000B9 -S3154000DD2001000000010000000100000001000000A9 -S3154000DD300100000001000000010000000100000099 -S3154000DD400100000001000000010000000100000089 -S3154000DD500100000001000000010000000100000079 -S3154000DD600100000001000000010000000100000069 -S3154000DD700100000001000000010000000100000059 -S3154000DD800100000001000000010000000100000049 -S3154000DD900100000001000000010000000100000039 -S3154000DDA00100000001000000010000000100000029 -S3154000DDB00100000001000000010000000100000019 -S3154000DDC00100000001000000010000000100000009 -S3154000DDD001000000010000000100000001000000F9 -S3154000DDE001000000010000000100000001000000E9 -S3154000DDF001000000010000000100000001000000D9 -S3154000DE0001000000010000000100000001000000C8 -S3154000DE1001000000010000000100000001000000B8 -S3154000DE2001000000010000000100000001000000A8 -S3154000DE300100000001000000010000000100000098 -S3154000DE400100000001000000010000000100000088 -S3154000DE500100000001000000010000000100000078 -S3154000DE600100000001000000010000000100000068 -S3154000DE700100000001000000010000000100000058 -S3154000DE800100000001000000010000000100000048 -S3154000DE900100000001000000010000000100000038 -S3154000DEA00100000001000000010000000100000028 -S3154000DEB00100000001000000010000000100000018 -S3154000DEC00100000001000000010000000100000008 -S3154000DED001000000010000000100000001000000F8 -S3154000DEE001000000010000000100000001000000E8 -S3154000DEF001000000010000000100000001000000D8 -S3154000DF0001000000010000000100000001000000C7 -S3154000DF1001000000010000000100000001000000B7 -S3154000DF2001000000010000000100000001000000A7 -S3154000DF300100000001000000010000000100000097 -S3154000DF400100000001000000010000000100000087 -S3154000DF500100000001000000010000000100000077 -S3154000DF600100000001000000010000000100000067 -S3154000DF700100000001000000010000000100000057 -S3154000DF800100000001000000010000000100000047 -S3154000DF900100000001000000010000000100000037 -S3154000DFA00100000001000000010000000100000027 -S3154000DFB00100000001000000010000000100000017 -S3154000DFC00100000001000000010000000100000007 -S3154000DFD001000000010000000100000001000000F7 -S3154000DFE001000000010000000100000001000000E7 -S3154000DFF001000000010000000100000001000000D7 -S3154000E00001000000010000000100000001000000C6 -S3154000E01001000000010000000100000001000000B6 -S3154000E02001000000010000000100000001000000A6 -S3154000E0300100000001000000010000000100000096 -S3154000E0400100000001000000010000000100000086 -S3154000E0500100000001000000010000000100000076 -S3154000E0600100000001000000010000000100000066 -S3154000E0700100000001000000010000000100000056 -S3154000E0800100000001000000010000000100000046 -S3154000E0900100000001000000010000000100000036 -S3154000E0A00100000001000000010000000100000026 -S3154000E0B00100000001000000010000000100000016 -S3154000E0C00100000001000000010000000100000006 -S3154000E0D001000000010000000100000001000000F6 -S3154000E0E001000000010000000100000001000000E6 -S3154000E0F001000000010000000100000001000000D6 -S3154000E10001000000010000000100000001000000C5 -S3154000E11001000000010000000100000001000000B5 -S3154000E12001000000010000000100000001000000A5 -S3154000E1300100000001000000010000000100000095 -S3154000E1400100000001000000010000000100000085 -S3154000E1500100000001000000010000000100000075 -S3154000E1600100000001000000010000000100000065 -S3154000E1700100000001000000010000000100000055 -S3154000E1800100000001000000010000000100000045 -S3154000E1900100000001000000010000000100000035 -S3154000E1A00100000001000000010000000100000025 -S3154000E1B00100000001000000010000000100000015 -S3154000E1C00100000001000000010000000100000005 -S3154000E1D001000000010000000100000001000000F5 -S3154000E1E001000000010000000100000001000000E5 -S3154000E1F001000000010000000100000001000000D5 -S3154000E20001000000010000000100000001000000C4 -S3154000E21001000000010000000100000001000000B4 -S3154000E22001000000010000000100000001000000A4 -S3154000E2300100000001000000010000000100000094 -S3154000E2400100000001000000010000000100000084 -S3154000E2500100000001000000010000000100000074 -S3154000E2600100000001000000010000000100000064 -S3154000E2700100000001000000010000000100000054 -S3154000E2800100000001000000010000000100000044 -S3154000E2900100000001000000010000000100000034 -S3154000E2A00100000001000000010000000100000024 -S3154000E2B00100000001000000010000000100000014 -S3154000E2C00100000001000000010000000100000004 -S3154000E2D001000000010000000100000001000000F4 -S3154000E2E001000000010000000100000001000000E4 -S3154000E2F001000000010000000100000001000000D4 -S3154000E30001000000010000000100000001000000C3 -S3154000E31001000000010000000100000001000000B3 -S3154000E32001000000010000000100000001000000A3 -S3154000E3300100000001000000010000000100000093 -S3154000E3400100000001000000010000000100000083 -S3154000E3500100000001000000010000000100000073 -S3154000E3600100000001000000010000000100000063 -S3154000E3700100000001000000010000000100000053 -S3154000E3800100000001000000010000000100000043 -S3154000E3900100000001000000010000000100000033 -S3154000E3A00100000001000000010000000100000023 -S3154000E3B00100000001000000010000000100000013 -S3154000E3C00100000001000000010000000100000003 -S3154000E3D001000000010000000100000001000000F3 -S3154000E3E001000000010000000100000001000000E3 -S3154000E3F001000000010000000100000001000000D3 -S3154000E40001000000010000000100000001000000C2 -S3154000E41001000000010000000100000001000000B2 -S3154000E42001000000010000000100000001000000A2 -S3154000E4300100000001000000010000000100000092 -S3154000E4400100000001000000010000000100000082 -S3154000E4500100000001000000010000000100000072 -S3154000E4600100000001000000010000000100000062 -S3154000E4700100000001000000010000000100000052 -S3154000E4800100000001000000010000000100000042 -S3154000E4900100000001000000010000000100000032 -S3154000E4A00100000001000000010000000100000022 -S3154000E4B00100000001000000010000000100000012 -S3154000E4C00100000001000000010000000100000002 -S3154000E4D001000000010000000100000001000000F2 -S3154000E4E001000000010000000100000001000000E2 -S3154000E4F001000000010000000100000001000000D2 -S3154000E50001000000010000000100000001000000C1 -S3154000E51001000000010000000100000001000000B1 -S3154000E52001000000010000000100000001000000A1 -S3154000E5300100000001000000010000000100000091 -S3154000E5400100000001000000010000000100000081 -S3154000E5500100000001000000010000000100000071 -S3154000E5600100000001000000010000000100000061 -S3154000E5700100000001000000010000000100000051 -S3154000E5800100000001000000010000000100000041 -S3154000E5900100000001000000010000000100000031 -S3154000E5A00100000001000000010000000100000021 -S3154000E5B00100000001000000010000000100000011 -S3154000E5C00100000001000000010000000100000001 -S3154000E5D001000000010000000100000001000000F1 -S3154000E5E001000000010000000100000001000000E1 -S3154000E5F001000000010000000100000001000000D1 -S3154000E60001000000010000000100000001000000C0 -S3154000E61001000000010000000100000001000000B0 -S3154000E62001000000010000000100000001000000A0 -S3154000E6300100000001000000010000000100000090 -S3154000E6400100000001000000010000000100000080 -S3154000E6500100000001000000010000000100000070 -S3154000E6600100000001000000010000000100000060 -S3154000E6700100000001000000010000000100000050 -S3154000E6800100000001000000010000000100000040 -S3154000E6900100000001000000010000000100000030 -S3154000E6A00100000001000000010000000100000020 -S3154000E6B00100000001000000010000000100000010 -S3154000E6C00100000001000000010000000100000000 -S3154000E6D001000000010000000100000001000000F0 -S3154000E6E001000000010000000100000001000000E0 -S3154000E6F001000000010000000100000001000000D0 -S3154000E70001000000010000000100000001000000BF -S3154000E71001000000010000000100000001000000AF -S3154000E720010000000100000001000000010000009F -S3154000E730010000000100000001000000010000008F -S3154000E740010000000100000001000000010000007F -S3154000E750010000000100000001000000010000006F -S3154000E760010000000100000001000000010000005F -S3154000E770010000000100000001000000010000004F -S3154000E780010000000100000001000000010000003F -S3154000E790010000000100000001000000010000002F -S3154000E7A0010000000100000001000000010000001F -S3154000E7B0010000000100000001000000010000000F -S3154000E7C001000000010000000100000001000000FF -S3154000E7D001000000010000000100000001000000EF -S3154000E7E001000000010000000100000001000000DF -S3154000E7F001000000010000000100000001000000CF -S3154000E80001000000010000000100000001000000BE -S3154000E81001000000010000000100000001000000AE -S3154000E820010000000100000001000000010000009E -S3154000E830010000000100000001000000010000008E -S3154000E840010000000100000001000000010000007E -S3154000E850010000000100000001000000010000006E -S3154000E860010000000100000001000000010000005E -S3154000E870010000000100000001000000010000004E -S3154000E880010000000100000001000000010000003E -S3154000E890010000000100000001000000010000002E -S3154000E8A0010000000100000001000000010000001E -S3154000E8B0010000000100000001000000010000000E -S3154000E8C001000000010000000100000001000000FE -S3154000E8D001000000010000000100000001000000EE -S3154000E8E001000000010000000100000001000000DE -S3154000E8F001000000010000000100000001000000CE -S3154000E90001000000010000000100000001000000BD -S3154000E91001000000010000000100000001000000AD -S3154000E920010000000100000001000000010000009D -S3154000E930010000000100000001000000010000008D -S3154000E940010000000100000001000000010000007D -S3154000E950010000000100000001000000010000006D -S3154000E960010000000100000001000000010000005D -S3154000E970010000000100000001000000010000004D -S3154000E980010000000100000001000000010000003D -S3154000E990010000000100000001000000010000002D -S3154000E9A0010000000100000001000000010000001D -S3154000E9B0010000000100000001000000010000000D -S3154000E9C001000000010000000100000001000000FD -S3154000E9D001000000010000000100000001000000ED -S3154000E9E001000000010000000100000001000000DD -S3154000E9F001000000010000000100000001000000CD -S3154000EA0001000000010000000100000001000000BC -S3154000EA1001000000010000000100000001000000AC -S3154000EA20010000000100000001000000010000009C -S3154000EA30010000000100000001000000010000008C -S3154000EA40010000000100000001000000010000007C -S3154000EA50010000000100000001000000010000006C -S3154000EA60010000000100000001000000010000005C -S3154000EA70010000000100000001000000010000004C -S3154000EA80010000000100000001000000010000003C -S3154000EA90010000000100000001000000010000002C -S3154000EAA0010000000100000001000000010000001C -S3154000EAB0010000000100000001000000010000000C -S3154000EAC001000000010000000100000001000000FC -S3154000EAD001000000010000000100000001000000EC -S3154000EAE001000000010000000100000001000000DC -S3154000EAF001000000010000000100000001000000CC -S3154000EB0001000000010000000100000001000000BB -S3154000EB1001000000010000000100000001000000AB -S3154000EB20010000000100000001000000010000009B -S3154000EB30010000000100000001000000010000008B -S3154000EB40010000000100000001000000010000007B -S3154000EB50010000000100000001000000010000006B -S3154000EB60010000000100000001000000010000005B -S3154000EB70010000000100000001000000010000004B -S3154000EB80010000000100000001000000010000003B -S3154000EB90010000000100000001000000010000002B -S3154000EBA0010000000100000001000000010000001B -S3154000EBB0010000000100000001000000010000000B -S3154000EBC001000000010000000100000001000000FB -S3154000EBD001000000010000000100000001000000EB -S3154000EBE001000000010000000100000001000000DB -S3154000EBF001000000010000000100000001000000CB -S3154000EC0001000000010000000100000001000000BA -S3154000EC1001000000010000000100000001000000AA -S3154000EC20010000000100000001000000010000009A -S3154000EC30010000000100000001000000010000008A -S3154000EC40010000000100000001000000010000007A -S3154000EC50010000000100000001000000010000006A -S3154000EC60010000000100000001000000010000005A -S3154000EC70010000000100000001000000010000004A -S3154000EC80010000000100000001000000010000003A -S3154000EC90010000000100000001000000010000002A -S3154000ECA0010000000100000001000000010000001A -S3154000ECB0010000000100000001000000010000000A -S3154000ECC001000000010000000100000001000000FA -S3154000ECD001000000010000000100000001000000EA -S3154000ECE001000000010000000100000001000000DA -S3154000ECF001000000010000000100000001000000CA -S3154000ED0001000000010000000100000001000000B9 -S3154000ED1001000000010000000100000001000000A9 -S3154000ED200100000001000000010000000100000099 -S3154000ED300100000001000000010000000100000089 -S3154000ED400100000001000000010000000100000079 -S3154000ED500100000001000000010000000100000069 -S3154000ED600100000001000000010000000100000059 -S3154000ED700100000001000000010000000100000049 -S3154000ED800100000001000000010000000100000039 -S3154000ED900100000001000000010000000100000029 -S3154000EDA00100000001000000010000000100000019 -S3154000EDB00100000001000000010000000100000009 -S3154000EDC001000000010000000100000001000000F9 -S3154000EDD001000000010000000100000001000000E9 -S3154000EDE001000000010000000100000001000000D9 -S3154000EDF001000000010000000100000001000000C9 -S3154000EE0001000000010000000100000001000000B8 -S3154000EE1001000000010000000100000001000000A8 -S3154000EE200100000001000000010000000100000098 -S3154000EE300100000001000000010000000100000088 -S3154000EE400100000001000000010000000100000078 -S3154000EE500100000001000000010000000100000068 -S3154000EE600100000001000000010000000100000058 -S3154000EE700100000001000000010000000100000048 -S3154000EE800100000001000000010000000100000038 -S3154000EE900100000001000000010000000100000028 -S3154000EEA00100000001000000010000000100000018 -S3154000EEB00100000001000000010000000100000008 -S3154000EEC001000000010000000100000001000000F8 -S3154000EED001000000010000000100000001000000E8 -S3154000EEE001000000010000000100000001000000D8 -S3154000EEF001000000010000000100000001000000C8 -S3154000EF0001000000010000000100000001000000B7 -S3154000EF1001000000010000000100000001000000A7 -S3154000EF200100000001000000010000000100000097 -S3154000EF300100000001000000010000000100000087 -S3154000EF400100000001000000010000000100000077 -S3154000EF500100000001000000010000000100000067 -S3154000EF600100000001000000010000000100000057 -S3154000EF700100000001000000010000000100000047 -S3154000EF800100000001000000010000000100000037 -S3154000EF900100000001000000010000000100000027 -S3154000EFA00100000001000000010000000100000017 -S3154000EFB00100000001000000010000000100000007 -S3154000EFC001000000010000000100000001000000F7 -S3154000EFD001000000010000000100000001000000E7 -S3154000EFE001000000010000000100000001000000D7 -S3154000EFF001000000010000000100000001000000C7 -S3154000F00001000000010000000100000001000000B6 -S3154000F01001000000010000000100000001000000A6 -S3154000F0200100000001000000010000000100000096 -S3154000F0300100000001000000010000000100000086 -S3154000F0400100000001000000010000000100000076 -S3154000F0500100000001000000010000000100000066 -S3154000F0600100000001000000010000000100000056 -S3154000F0700100000001000000010000000100000046 -S3154000F0800100000001000000010000000100000036 -S3154000F0900100000001000000010000000100000026 -S3154000F0A00100000001000000010000000100000016 -S3154000F0B00100000001000000010000000100000006 -S3154000F0C001000000010000000100000001000000F6 -S3154000F0D001000000010000000100000001000000E6 -S3154000F0E001000000010000000100000001000000D6 -S3154000F0F001000000010000000100000001000000C6 -S3154000F10001000000010000000100000001000000B5 -S3154000F11001000000010000000100000001000000A5 -S3154000F1200100000001000000010000000100000095 -S3154000F1300100000001000000010000000100000085 -S3154000F1400100000001000000010000000100000075 -S3154000F1500100000001000000010000000100000065 -S3154000F1600100000001000000010000000100000055 -S3154000F1700100000001000000010000000100000045 -S3154000F1800100000001000000010000000100000035 -S3154000F1900100000001000000010000000100000025 -S3154000F1A00100000001000000010000000100000015 -S3154000F1B00100000001000000010000000100000005 -S3154000F1C001000000010000000100000001000000F5 -S3154000F1D001000000010000000100000001000000E5 -S3154000F1E001000000010000000100000001000000D5 -S3154000F1F001000000010000000100000001000000C5 -S3154000F20001000000010000000100000001000000B4 -S3154000F21001000000010000000100000001000000A4 -S3154000F2200100000001000000010000000100000094 -S3154000F2300100000001000000010000000100000084 -S3154000F2400100000001000000010000000100000074 -S3154000F2500100000001000000010000000100000064 -S3154000F2600100000001000000010000000100000054 -S3154000F2700100000001000000010000000100000044 -S3154000F2800100000001000000010000000100000034 -S3154000F2900100000001000000010000000100000024 -S3154000F2A00100000001000000010000000100000014 -S3154000F2B00100000001000000010000000100000004 -S3154000F2C001000000010000000100000001000000F4 -S3154000F2D001000000010000000100000001000000E4 -S3154000F2E001000000010000000100000001000000D4 -S3154000F2F001000000010000000100000001000000C4 -S3154000F30001000000010000000100000001000000B3 -S3154000F31001000000010000000100000001000000A3 -S3154000F3200100000001000000010000000100000093 -S3154000F3300100000001000000010000000100000083 -S3154000F3400100000001000000010000000100000073 -S3154000F3500100000001000000010000000100000063 -S3154000F3600100000001000000010000000100000053 -S3154000F3700100000001000000010000000100000043 -S3154000F3800100000001000000010000000100000033 -S3154000F3900100000001000000010000000100000023 -S3154000F3A00100000001000000010000000100000013 -S3154000F3B00100000001000000010000000100000003 -S3154000F3C001000000010000000100000001000000F3 -S3154000F3D001000000010000000100000001000000E3 -S3154000F3E001000000010000000100000001000000D3 -S3154000F3F001000000010000000100000001000000C3 -S3154000F40001000000010000000100000001000000B2 -S3154000F41001000000010000000100000001000000A2 -S3154000F4200100000001000000010000000100000092 -S3154000F4300100000001000000010000000100000082 -S3154000F4400100000001000000010000000100000072 -S3154000F4500100000001000000010000000100000062 -S3154000F4600100000001000000010000000100000052 -S3154000F4700100000001000000010000000100000042 -S3154000F4800100000001000000010000000100000032 -S3154000F4900100000001000000010000000100000022 -S3154000F4A00100000001000000010000000100000012 -S3154000F4B00100000001000000010000000100000002 -S3154000F4C001000000010000000100000001000000F2 -S3154000F4D001000000010000000100000001000000E2 -S3154000F4E001000000010000000100000001000000D2 -S3154000F4F001000000010000000100000001000000C2 -S3154000F50001000000010000000100000001000000B1 -S3154000F51001000000010000000100000001000000A1 -S3154000F5200100000001000000010000000100000091 -S3154000F5300100000001000000010000000100000081 -S3154000F5400100000001000000010000000100000071 -S3154000F5500100000001000000010000000100000061 -S3154000F5600100000001000000010000000100000051 -S3154000F5700100000001000000010000000100000041 -S3154000F5800100000001000000010000000100000031 -S3154000F5900100000001000000010000000100000021 -S3154000F5A00100000001000000010000000100000011 -S3154000F5B00100000001000000010000000100000001 -S3154000F5C001000000010000000100000001000000F1 -S3154000F5D001000000010000000100000001000000E1 -S3154000F5E001000000010000000100000001000000D1 -S3154000F5F001000000010000000100000001000000C1 -S3154000F60001000000010000000100000001000000B0 -S3154000F61001000000010000000100000001000000A0 -S3154000F6200100000001000000010000000100000090 -S3154000F6300100000001000000010000000100000080 -S3154000F6400100000001000000010000000100000070 -S3154000F6500100000001000000010000000100000060 -S3154000F6600100000001000000010000000100000050 -S3154000F6700100000001000000010000000100000040 -S3154000F6800100000001000000010000000100000030 -S3154000F6900100000001000000010000000100000020 -S3154000F6A00100000001000000010000000100000010 -S3154000F6B00100000001000000010000000100000000 -S3154000F6C001000000010000000100000001000000F0 -S3154000F6D001000000010000000100000001000000E0 -S3154000F6E001000000010000000100000001000000D0 -S3154000F6F001000000010000000100000001000000C0 -S3154000F70001000000010000000100000001000000AF -S3154000F710010000000100000001000000010000009F -S3154000F720010000000100000001000000010000008F -S3154000F730010000000100000001000000010000007F -S3154000F740010000000100000001000000010000006F -S3154000F750010000000100000001000000010000005F -S3154000F760010000000100000001000000010000004F -S3154000F770010000000100000001000000010000003F -S3154000F780010000000100000001000000010000002F -S3154000F790010000000100000001000000010000001F -S3154000F7A0010000000100000001000000010000000F -S3154000F7B001000000010000000100000001000000FF -S3154000F7C001000000010000000100000001000000EF -S3154000F7D001000000010000000100000001000000DF -S3154000F7E001000000010000000100000001000000CF -S3154000F7F001000000010000000100000001000000BF -S3154000F80001000000010000000100000001000000AE -S3154000F810010000000100000001000000010000009E -S3154000F820010000000100000001000000010000008E -S3154000F830010000000100000001000000010000007E -S3154000F840010000000100000001000000010000006E -S3154000F850010000000100000001000000010000005E -S3154000F860010000000100000001000000010000004E -S3154000F870010000000100000001000000010000003E -S3154000F880010000000100000001000000010000002E -S3154000F890010000000100000001000000010000001E -S3154000F8A0010000000100000001000000010000000E -S3154000F8B001000000010000000100000001000000FE -S3154000F8C001000000010000000100000001000000EE -S3154000F8D001000000010000000100000001000000DE -S3154000F8E001000000010000000100000001000000CE -S3154000F8F001000000010000000100000001000000BE -S3154000F90001000000010000000100000001000000AD -S3154000F910010000000100000001000000010000009D -S3154000F920010000000100000001000000010000008D -S3154000F930010000000100000001000000010000007D -S3154000F940010000000100000001000000010000006D -S3154000F950010000000100000001000000010000005D -S3154000F960010000000100000001000000010000004D -S3154000F970010000000100000001000000010000003D -S3154000F980010000000100000001000000010000002D -S3154000F990010000000100000001000000010000001D -S3154000F9A0010000000100000001000000010000000D -S3154000F9B001000000010000000100000001000000FD -S3154000F9C001000000010000000100000001000000ED -S3154000F9D001000000010000000100000001000000DD -S3154000F9E001000000010000000100000001000000CD -S3154000F9F001000000010000000100000001000000BD -S3154000FA0001000000010000000100000001000000AC -S3154000FA10010000000100000001000000010000009C -S3154000FA20010000000100000001000000010000008C -S3154000FA30010000000100000001000000010000007C -S3154000FA40010000000100000001000000010000006C -S3154000FA50010000000100000001000000010000005C -S3154000FA60010000000100000001000000010000004C -S3154000FA70010000000100000001000000010000003C -S3154000FA80010000000100000001000000010000002C -S3154000FA90010000000100000001000000010000001C -S3154000FAA0010000000100000001000000010000000C -S3154000FAB001000000010000000100000001000000FC -S3154000FAC001000000010000000100000001000000EC -S3154000FAD001000000010000000100000001000000DC -S3154000FAE001000000010000000100000001000000CC -S3154000FAF001000000010000000100000001000000BC -S3154000FB0001000000010000000100000001000000AB -S3154000FB10010000000100000001000000010000009B -S3154000FB20010000000100000001000000010000008B -S3154000FB30010000000100000001000000010000007B -S3154000FB40010000000100000001000000010000006B -S3154000FB50010000000100000001000000010000005B -S3154000FB60010000000100000001000000010000004B -S3154000FB70010000000100000001000000010000003B -S3154000FB80010000000100000001000000010000002B -S3154000FB90010000000100000001000000010000001B -S3154000FBA0010000000100000001000000010000000B -S3154000FBB001000000010000000100000001000000FB -S3154000FBC001000000010000000100000001000000EB -S3154000FBD001000000010000000100000001000000DB -S3154000FBE001000000010000000100000001000000CB -S3154000FBF001000000010000000100000001000000BB -S3154000FC0001000000010000000100000001000000AA -S3154000FC10010000000100000001000000010000009A -S3154000FC20010000000100000001000000010000008A -S3154000FC30010000000100000001000000010000007A -S3154000FC40010000000100000001000000010000006A -S3154000FC50010000000100000001000000010000005A -S3154000FC60010000000100000001000000010000004A -S3154000FC70010000000100000001000000010000003A -S3154000FC80010000000100000001000000010000002A -S3154000FC90010000000100000001000000010000001A -S3154000FCA0010000000100000001000000010000000A -S3154000FCB001000000010000000100000001000000FA -S3154000FCC001000000010000000100000001000000EA -S3154000FCD001000000010000000100000001000000DA -S3154000FCE001000000010000000100000001000000CA -S3154000FCF001000000010000000100000001000000BA -S3154000FD0001000000010000000100000001000000A9 -S3154000FD100100000001000000010000000100000099 -S3154000FD200100000001000000010000000100000089 -S3154000FD300100000001000000010000000100000079 -S3154000FD400100000001000000010000000100000069 -S3154000FD500100000001000000010000000100000059 -S3154000FD600100000001000000010000000100000049 -S3154000FD700100000001000000010000000100000039 -S3154000FD800100000001000000010000000100000029 -S3154000FD900100000001000000010000000100000019 -S3154000FDA00100000001000000010000000100000009 -S3154000FDB001000000010000000100000001000000F9 -S3154000FDC001000000010000000100000001000000E9 -S3154000FDD001000000010000000100000001000000D9 -S3154000FDE001000000010000000100000001000000C9 -S3154000FDF001000000010000000100000001000000B9 -S3154000FE0001000000010000000100000001000000A8 -S3154000FE100100000001000000010000000100000098 -S3154000FE200100000001000000010000000100000088 -S3154000FE300100000001000000010000000100000078 -S3154000FE400100000001000000010000000100000068 -S3154000FE500100000001000000010000000100000058 -S3154000FE600100000001000000010000000100000048 -S3154000FE700100000001000000010000000100000038 -S3154000FE800100000001000000010000000100000028 -S3154000FE900100000001000000010000000100000018 -S3154000FEA00100000001000000010000000100000008 -S3154000FEB001000000010000000100000001000000F8 -S3154000FEC001000000010000000100000001000000E8 -S3154000FED001000000010000000100000001000000D8 -S3154000FEE001000000010000000100000001000000C8 -S3154000FEF001000000010000000100000001000000B8 -S3154000FF0001000000010000000100000001000000A7 -S3154000FF100100000001000000010000000100000097 -S3154000FF200100000001000000010000000100000087 -S3154000FF300100000001000000010000000100000077 -S3154000FF400100000001000000010000000100000067 -S3154000FF500100000001000000010000000100000057 -S3154000FF600100000001000000010000000100000047 -S3154000FF700100000001000000010000000100000037 -S3154000FF800100000001000000010000000100000027 -S3154000FF900100000001000000010000000100000017 -S3154000FFA00100000001000000010000000100000007 -S3154000FFB001000000010000000100000001000000F7 -S3154000FFC001000000010000000100000001000000E7 -S3154000FFD001000000010000000100000001000000D7 -S3154000FFE001000000010000000100000001000000C7 -S3154000FFF001000000010000000100000001000000B7 -S315400100009DE3BF987FFFC4741100403080A2200059 -S31540010010128000F382102001C226200CC026200443 -S31540010020C0260000C026200882102002C2262008D1 -S31540010030C0260000C026000082102003C2262008E8 -S315400100409A102083DA262008A2102063C0260000D9 -S31540010050A2847FFF1CBFFFFE01000000A2102063A7 -S31540010060C2060000A2847FFF1CBFFFFE8210200251 -S31540010070C2262008A4062004C28480208088600409 -S3154001008002BFFFFE01000000C0262008C026000076 -S31540010090A6102001C2848020833860148208603F04 -S315400100A080A0600122800093A604E0018210200313 -S315400100B0C2262008C2848020808860010280000711 -S315400100C080886004C2860020C284802080886001C6 -S315400100D012BFFFFD8088600402BFFFFB808860027B -S315400100E002BFFFF901000000C0262008C0262004F7 -S315400100F080A4E0010480000821100080C284802091 -S315400101008208608080A06001028000AE010000008C -S3154001011021100080DA4C20F0DA260000C2848020CB -S315400101208208600480A060010280009A01000000FC -S31540010130C284802080A4E001048000208210200136 -S31540010140A210200180A440131680000D2B100080C0 -S31540010150A81420F0E00560E8901000114000247CCE -S3154001016092100010C24D0008A2046001C226000090 -S3154001017080A4401306BFFFFA90100011C28480206C -S315400101808208608080A06001028000920100000028 -S31540010190C2848020833860148208603F80A0401367 -S315400101A002800004010000007FFFC4159010200664 -S315400101B0C284802082102001C226200CDA8480204D -S315400101C0808B60011280006801000000821020834C -S315400101D0C226200880A4E0010280005201000000EE -S315400101E0C28480208088640002BFFFFE01000000B7 -S315400101F0C284802080886001028000530100000093 -S3154001020080A4E00124800012A2102000C284802034 -S315400102108330601A80A04013028000040100000070 -S315400102207FFFC3F790102009C28480208088610037 -S315400102300280005501000000C2848020808864004D -S315400102400280004D01000000A210200080A440134E -S315400102501680001203100080A81060F02B10008059 -S31540010260E08600209010001140002439D20560E854 -S31540010270C24D0008A204600180A040100280000423 -S315400102809010200C7FFFC3DE0100000080A44013C4 -S3154001029006BFFFF40100000080A4E0010480000FC6 -S315400102A001000000C28480208088610012800042E3 -S315400102B001000000C28480208330601A80A0600063 -S315400102C01280003A01000000C284802080886400C8 -S315400102D01280003301000000C284802080886001C2 -S315400102E01280001D01000000C02620083080003C1D -S315400102F0C0260000C2848020833860148208603F93 -S3154001030080A0401322BFFFFBA604E00180A4E001C8 -S3154001031034BFFF67A604FFFF10BFFF6682102003AC -S31540010320C28480208088600112BFFFB201000000B4 -S31540010330C28480208088600102BFFFFA010000006C -S3154001034030BFFFAC7FFFC3AE9010200810BFFFAE99 -S3154001035080A4E0017FFFC3AA9010200CC02620088C -S315400103603080001F7FFFC3A69010200710BFFF9962 -S31540010370821020837FFFC3A29010200B10BFFFB4D1 -S31540010380A21020007FFFC39E9010200A30BFFFAB12 -S315400103907FFFC39B9010200130BFFF667FFFC3984C -S315400103A09010200B30BFFFCD7FFFC3959010200EDC -S315400103B030BFFFC67FFFC3929010200D30BFFFBEF6 -S315400103C07FFFC38F9010200410BFFF532110008080 -S315400103D07FFFC38B9010200530BFFF6E81C7E008B9 -S315400103E091E8200017100143DA02E08090022010C4 -S315400103F09B2B60029812E080D023000DC202E08060 -S3154001040082006001C222E08081C3E0080100000051 -S31540010410C0220000C022204082103FFFC222200C91 -S315400104209A10200103100143DA20608081C3E0085D -S31540010430010000009DE3BF7803100144F02060CC29 -S315400104407FFFC365110040347FFFFFF29010001813 -S31540010450A010200123100040921000104000299165 -S31540010460901463E4A004200180A4200F04BFFFFC84 -S3154001047092100010C20620208330601CAE0060013D -S31540010480AC10200080A58017168000EC0300003FC9 -S31540010490B81063FE2B1001430300002AB61062AA6E -S315400104A0BA102001B410001CB2156080832DA00C37 -S315400104B080A5E00114800118A600401880A5A0007F -S315400104C01280010901000000F824E008C204E00896 -S315400104D080A0401C02800004010000007FFFC34849 -S315400104E090102001F824E040C204E04080A0401C66 -S315400104F002800004010000007FFFC34190102002EA -S31540010500C204E00880A0600012BFFFFE01000000A7 -S31540010510C205608080A0601002800005251001435D -S315400105207FFFC3379010200325100143A0102001FF -S31540010530A414A080A2102020832C20029A244010CB -S31540010540D8048001A004200180A3000D028000048C -S31540010550901020047FFFC32A0100000080A4200FD1 -S3154001056004BFFFF7832C2002FA256080F624C000E1 -S31540010570F424E040C204C00080A0401B0280000475 -S31540010580A010001A7FFFC31E90102005C204E04050 -S3154001059080A0401002800004010000007FFFC318C4 -S315400105A090102005F424E008C204E00880A0600011 -S315400105B012BFFFFE01000000C205608080A06010EE -S315400105C002800005251001437FFFC30D90102006D0 -S315400105D025100143A0102001A414A080A210201FC1 -S315400105E09B2C2002C204800D80A04011A004200152 -S315400105F0A2047FFE02800004901020077FFFC30003 -S315400106000100000080A4200704BFFFF79B2C2002B5 -S31540010610A4066028A210201CA0102005C204800058 -S3154001062080A04011A404A004A2047FFE028000041D -S31540010630901020087FFFC2F201000000A0843FFF16 -S315400106401CBFFFF701000000FA27BFE8C207BFE859 -S3154001065082006001C227BFECDA07BFEC9A03600152 -S31540010660DA27BFF0C207BFF082006001C227BFF49C -S315400106709A102006DA27BFD8C024C000C024E04023 -S31540010680FA256080A0102002E024E040E024E00842 -S31540010690834440008088610002800005010000001B -S315400106A0805000018050000180500001C207BFD830 -S315400106B0C227BFDCDA07BFD880A3600602800004E8 -S315400106C0010000007FFFC2CE9010200AE024E0081E -S315400106D001000000C207BFD8C227BFDCDA07BFD876 -S315400106E080A3600602800004010000007FFFC2C4AF -S315400106F09010200AE024E00801000000C027BFDC7A -S31540010700C207BFDC80A06000128000950100000096 -S31540010710C204E00880A0600012BFFFFE0100000095 -S31540010720C205608080A06004028000052100003F70 -S315400107307FFFC2B39010200D2100003FC024E0404E -S31540010740A01423FE9A10200103100143E024E0087F -S31540010750DA206080400003E801000000400003E821 -S3154001076090122F00E024E040C204E04080A06000E7 -S3154001077002BFFFFE01000000C205608080A060024A -S3154001078002800004A01560807FFFC29D9010200E5C -S31540010790C204200480A0601F028000040100000002 -S315400107A07FFFC2979010200F400003D3A81000107E -S315400107B0400003D390023F00A0102002A410202045 -S315400107C0400003CD01000000400003CD90023F00F0 -S315400107D0C2056080A204200180A04011028000046D -S315400107E0901020107FFFC28601000000832C20025A -S315400107F09A248010D8050001A010001180A3000D95 -S3154001080002800004901020117FFFC27D010000008C -S3154001081080A4200F04BFFFEB01000000C024E0408C -S31540010820AC05A00182103FFFC224E00C80A58017D1 -S3154001083006BFFF20832DA00C80A5E0010480004B5C -S3154001084001000000C0262024C206202480A06000AA -S315400108501280003F0100000021040000E026202410 -S31540010860C206202480A0401002800005821020018B -S315400108707FFFC2639010201482102001C2262020DF -S31540010880C0262024C206202480A0401002800004F5 -S31540010890010000007FFFC25A90102015C02620207B -S315400108A0C0262024C206202480A060001280002495 -S315400108B00100000082102002C2262020DA062020F4 -S315400108C0808B60020280001B01000000C0262020B0 -S315400108D0C2062020808860020280002401000000B8 -S315400108E0308000117FFFFECB901000139B2DA01C82 -S315400108F0DA24E024C204E0248330601C80A0401640 -S3154001090002BFFEF2010000007FFFC23D901020129F -S3154001091030BFFEEE7FFFC2359010001610BFFEE9D4 -S3154001092080A5A0007FFFC236901020183080000FAE -S315400109307FFFC2339010201730BFFFE57FFFC230E3 -S315400109409010201610BFFFDD821020027FFFC22CBF -S315400109509010201310BFFFC2210400007FFFC22860 -S315400109609010200B30BFFF6B81C7E00891E8200053 -S3154001097003100143DA0060C8C023600881C3E00860 -S31540010980901020009DE3BF902F100144E205E0CC7A -S31540010990A004610027100143C2042004F024E0C8EA -S315400109A07FFFC2129010201003018000DA04200458 -S315400109B082106020808B40011280010D01000000F1 -S315400109C0DA046100DA27BFF0C204610080A3400166 -S315400109D002800005821020017FFFC209901020028B -S315400109E082102001C2242004DA046100DA27BFF014 -S315400109F0C204610080A34001028001200100000081 -S31540010A00C0242004DA046100DA27BFF0C204610081 -S31540010A1080A340010280000580A720007FFFC1F826 -S31540010A209010200480A72000128000B780A72001E3 -S31540010A30B010200180A6001A16800017A8102001C8 -S31540010A40108000052510004280A6001A168000136A -S31540010A50DA04E0C8A206C0189014A17092100011E1 -S31540010A6080A4601F14BFFFF9B00620014000280D85 -S31540010A7001000000D805E0CCC20320409B2D0011A7 -S31540010A808210400DC223204080A6001A06BFFFF304 -S31540010A90A206C018DA04E0C89606A003C023600483 -S31540010AA0833AE01FC023601098103FFF8330601ED9 -S31540010AB0AA02C001D8236014D823600CA2102000DA -S31540010AC0AD3D600280A720000280008AA810200167 -S31540010AD080A4401C168000ECC204E0C880A7200117 -S31540010AE004800015B010200080A5A00004800013EA -S31540010AF080A0001C9B2C6010832C60188210400D36 -S31540010B00992C60088210400C82104011993D600278 -S31540010B10DA04E0C8C2236020B006200180A7200184 -S31540010B20048000059A03600480A3001814BFFFFAED -S31540010B300100000080A0001CB0603FFF80A6001AA3 -S31540010B4016800016C204E0C82501800080A7200057 -S31540010B50028000058206C01880A720010280008419 -S31540010B608206C011C2242004D804E0C8832D00188F -S31540010B709B3E4018C2232008808B6001128000856D -S31540010B809A10000CB006200180A6001A06BFFFF19C -S31540010B9080A72000C204E0C8C0206008808E6001A2 -S31540010BA012800009B0102000B006200180A6201F47 -S31540010BB014800005833E40188088600122BFFFFCF7 -S31540010BC0B006200180A72000028000068206C018D8 -S31540010BD080A72001028000048216E0208206C0110F -S31540010BE082106020C2242004DA04E0C8832D001854 -S31540010BF0C2236008C203600880A0600012BFFFFEE6 -S31540010C0001000000C2042004808860200280009810 -S31540010C1001000000DA04200403010000808B40013A -S31540010C200280009001000000DA04200403008000E5 -S31540010C30808B40010280008801000000C204200828 -S31540010C40C227BFF0DA04200CDA27BFF4C204E0C899 -S31540010C50992D0018D82060089A100001C203600837 -S31540010C6080A0600012BFFFFE01000000C204200404 -S31540010C70808860200280007501000000DA042004AB -S31540010C8003010000808B40010280006D01000000DD -S31540010C90DA04200403008000808B40010280006555 -S31540010CA001000000DA042008C207BFF080A0400D11 -S31540010CB002800004010000007FFFC1519010200E08 -S31540010CC0DA04200CC207BFF480A0400D0280000563 -S31540010CD0030180007FFFC14A9010200F030180006D -S31540010CE0C224200480A7200012BFFF7AA20460011B -S31540010CF080A4600004BFFF7B80A7200110800062B2 -S31540010D00C204E0C80280003D11100042B01020002C -S31540010D1080A6001C16BFFF60A810200110800005A8 -S31540010D202510004280A6001C16BFFF5CDA04E0C80D -S31540010D30A206C0189014A1709210001180A4601FE1 -S31540010D4014BFFFF9B00620014000275601000000FC -S31540010D50D805E0CCC20320409B2D00118210400DE6 -S31540010D60C223204010BFFFF180A6001CF6242004B8 -S31540010D70D804E0C8832D00189B3E4018C2232008A2 -S31540010D80808B600122BFFF81B00620019A10000CC2 -S31540010D90C203600880A0600012BFFFFE0100000090 -S31540010DA0DA04200403010000808B40010280001E0A -S31540010DB001000000DA04200403008000808B40011A -S31540010DC00280001601000000E4242004C20420042D -S31540010DD08088401222BFFF6DB00620017FFFC10807 -S31540010DE09010200710BFFF69B00620017FFFC104A4 -S31540010DF09010200130BFFEF3901221704000272948 -S31540010E009210001BDA05E0CC832F001BC223604001 -S31540010E1010BFFF22DA04E0C87FFFC0F99010200618 -S31540010E2030BFFFEA7FFFC0F69010200530BFFFE2DA -S31540010E307FFFC0F39010200D30BFFF9B7FFFC0F0B6 -S31540010E409010200C30BFFF937FFFC0ED9010200B18 -S31540010E5030BFFF8B7FFFC0EA9010200A30BFFF787A -S31540010E607FFFC0E79010200930BFFF707FFFC0E4CD -S31540010E709010200830BFFF687FFFC0E1901020032B -S31540010E8030BFFEE0C020600CDA05E0CCC0236040F4 -S31540010E9082103FFFC223600C81C7E00891E8200021 -S31540010EA09DE3BF983B100144E60760CC7FFFC0CF6E -S31540010EB090102011C024E01CC204E01C80A06000F8 -S31540010EC00280004801000000C204E01C8330601B20 -S31540010ED080A0401A0A80003F01000000A4102000B3 -S31540010EE080A4801A1A8000422D100143AA102001C5 -S31540010EF0AE15A080A32CA002E00640117FFFFD4560 -S31540010F0090100013A804A0019B2D4012832D2010A0 -S31540010F108210400DC224E01C9A103FFFDA24E040C3 -S31540010F20D80600119A10201FDA232004A0043FFF9F -S31540010F30DA230000A12C20048204000C9A1020051B -S31540010F40DA206010C0206014EA2060189A1000016F -S31540010F50C203601080A0600012BFFFFE01000000C6 -S31540010F60A004000CC204201080A0600002BFFFFE56 -S31540010F7001000000C0242018C205A08080A06002A4 -S31540010F80028000069A04A011900480127FFFC09C43 -S31540010F90900220039A04A011C205E00480A0400DEE -S31540010FA022800006A4100014900480127FFFC09492 -S31540010FB090022004A4100014C024E01C80A5001A4D -S31540010FC00ABFFFCEA32CA0021080000ADA0760CC2C -S31540010FD07FFFC08B9010200210BFFFC2A4102000DB -S31540010FE07FFFC0879010200130BFFFB8DA0760CC81 -S31540010FF0C023604082103FFFC223600C81C7E008D6 -S3154001100091E820009DE3BF987FFFC08C010000005E -S3154001101080A220000280002680A62000128000299E -S31540011020010000007FFFC08501000000912A2004D5 -S315400110307FFFC06E9002200340001870010000003F -S3154001104080A220001280002B010000004000182DD4 -S3154001105001000000400017E60100000040000228A0 -S315400110600100000080A6A0001280002D031001445B -S315400110707FFFC072B41060D0912A2002C206800858 -S3154001108080A060001280003080A6200012800039C6 -S31540011090010000004000048F0100000040001E05D1 -S315400110A001000000400015C281E800007FFFC04AF0 -S315400110B01100400C80A6200002BFFFDB01000000AA -S315400110C07FFFC0F6901000197FFFC05C0100000051 -S315400110D0912A20047FFFC045900220034000184713 -S315400110E00100000080A2200002BFFFD901000000DC -S315400110F07FFFC0439010200140001802010000000C -S31540011100400017BB01000000400001FD0100000046 -S3154001111080A6A00002BFFFD70310014440001DF086 -S3154001112001000000031001447FFFC044B41060D0A9 -S31540011130912A2002C206800880A0600002BFFFD427 -S3154001114080A620007FFFC03D010000007FFFC03B1D -S31540011150A0100008912A2002C20680089FC04000C4 -S315400111609010001080A6200002BFFFCB01000000B6 -S315400111707FFFC0DA901000194000045601000000BC -S3154001118040001DCC010000004000158981E80000A7 -S315400111900100000003100143DA0060CC9A036001AC -S315400111A0DA2060CC81C3E008010000009DE3BF98CE -S315400111B07FFFC00911004044D806200882103FFF36 -S315400111C0C2262004DA060000C206000080A34001C0 -S315400111D00280006FA60B20078210201FC226200422 -S315400111E0C226000080A4E00002800033821000186D -S315400111F0A2100013C0206018A2847FFF12BFFFFE19 -S3154001120082006010A210200080A440131680002B9B -S3154001121080A4E001A810200FA01000187FFFBFF3A3 -S3154001122090100011C0242010E824201482102006BA -S31540011230C2242018DA04201080A3600F0280000423 -S31540011240010000007FFFBFEE90102003E824201824 -S315400112509A10200EC204201080A0400D12BFFFFE3E -S31540011260010000009A837FFF1CBFFFFBA410001002 -S31540011270C204201080A0600F12BFFFFE01000000D3 -S31540011280C204201880886010028000358210201028 -S31540011290C224A018DA042018808B6010128000380E -S315400112A001000000A204600180A4401306BFFFDCD8 -S315400112B0A004201080A4E0011480001080A4E00066 -S315400112C00280000782100018A2100013C020601887 -S315400112D0A2847FFF12BFFFFE8200601021100144ED -S315400112E0C20420CC80A060000280002D1110004471 -S315400112F01080000D921000197FFFBFBC901020088E -S315400113008210200FC22620189A10202FDA26202874 -S31540011310C206202080A0600D12BFFFFE80A4E0001F -S3154001132030BFFFE8400025DF901221947FFFFC3952 -S31540011330D00420CC8210200183284019DA0420CC25 -S31540011340C22360409810200FD82620148210200D09 -S31540011350C2262018A7800000308000117FFFBFA859 -S315400113609010200482102010C224A018DA042018FC -S31540011370808B601022BFFFCDA20460017FFFBFA01A -S315400113809010200510BFFFC9A20460017FFFBF9CDA -S315400113909010200110BFFF928210201F81C7E008E4 -S315400113A081E800000000000000000000000000008D -S315400113B000000000000000000000000000000000E6 -S315400113C001000000010000000100000001000000D2 -S315400113D0010000000100000081C3E0080100000097 -S315400113E001000000010000000100000001000000B2 -S315400113F0010000000100000081C3E0080100000077 -S315400114000100000001000000010000000100000091 -S31540011410010000000100000081C3E0080100000056 -S315400114200100000001000000010000000100000071 -S31540011430010000000100000081C3E0080100000036 -S31540011440D482018090A2000916BFFFFE9612800B3E -S3154001145081C3E0089010000BD48201C090A200091C -S3154001146016BFFFFE9612800B81C3E0089010000B59 -S3154001147090A22004C0A201A090A22004C0A201A073 -S3154001148090A22004C0A201A090A22004C0A201A063 -S31540011490C0A2018090A2200414BFFFF70100000002 -S315400114A081C3E0080100000090A22004C0A201E02F -S315400114B090A22004C0A201E090A22004C0A201E0B3 -S315400114C090A22004C0A201E0C0A201C090A22004C3 -S315400114D014BFFFF70100000081C3E00801000000CE -S315400114E0981000089610000A98A3200814BFFFFF21 -S315400114F0D43B00099810000898A3200814BFFFFFA9 -S31540011500C01B00099810000898A32004D6030009BF -S3154001151080A2C00A1280000698A3200434BFFFFDB2 -S31540011520D603000981C3E0089010200090102001E5 -S31540011530981000089610000A98A3200814BFFFFFD0 -S31540011540D43B00099810000898A32004D60300094B -S3154001155080A2C00A1280000698A3200434BFFFFD72 -S31540011560D603000981C3E0089010200090102001A5 -S315400115709810000898A32004D2A301A0DA8301A001 -S3154001158080A340091280000698A3200414BFFFFCE3 -S31540011590D2A301A081C3E008901020009010200141 -S315400115A09A1000089AA3400AD6A34180D883418065 -S315400115B0981B000B988B0009128000069AA3400ADB -S315400115C014BFFFFBD6A3418081C3E00890102000E1 -S315400115D0901020019A1000089AA3400BD8A241CD41 -S315400115E0C48241CD8418800C8488800A128000060A -S315400115F09AA3400B14BFFFFBD8A241CD81C3E0089B -S315400116009010200090102001010000000100000010 -S31540011610010000001310008092126138D40240008C -S3154001162080A2A0011280000780A0A002D40240003F -S31540011630D4024000952AA002108000050100000056 -S315400116403280000381E80000D402400081E00000BE -S3154001165093480000818A602023100045A2146278D5 -S31540011660A40460040100000081C4400081CC8000D4 -S3154001167091D0200191D020012680000590002001C3 -S3154001168090222001912A2001912A200281C3E0085B -S315400116900100000081C3E008D082004081C3E00818 -S315400116A0D2A2004081C3E008D082018081C3E00814 -S315400116B0D2A2018081C3E008D08201A081C3E008A3 -S315400116C0D2A201A081C3E008D08201C081C3E00853 -S315400116D0D2A201C081C3E008D08201E081C3E00803 -S315400116E0D2A201E081C3E008D2A2000081C3E00892 -S315400116F0D082000081C3E00891480000818A000041 -S3154001170001000000010000000100000081C3E00863 -S315400117100100000081C3E008C0A000A081C3E00829 -S31540011720C0A000C081C3E008D01A0000010000003B -S31540011730010000000100000001000000010000005E -S315400117409DE3BF701310006DCD1A6170CD3FBFE0B0 -S31540011750111000801B100080C91B61E0C51A21D8F9 -S31540011760C11FBFE095A088C4D53FBFF0D91FBFF0C8 -S3154001177003100080D11861E881AB0A4801000000DE -S315400117800380002BC13FBFD8F91FBFD8B5A0055C68 -S31540011790F53FBFF0ED1FBFF0F11FBFF0A5A589587A -S315400117A0E91FBFE0A1A488D41510006DA1A00130A6 -S315400117B0DD1AA17881AC0ACE010000000D80002D12 -S315400117C0F53FBFD0C51FBFD083A018C291A0492104 -S315400117D099A01928D51FBFE091A308CA1710006D1B -S315400117E091A00128FD1AE18081AA0ADE01000000CC -S315400117F00D80002F01000000400001AE01000000F5 -S315400118004000020C0100000080A22000128000313D -S3154001181001000000400002610100000080A220009A -S315400118200280003501000000308000317FFFBE7428 -S3154001183090102001F91FBFD8B5A0055CF53FBFF058 -S31540011840ED1FBFF0F11FBFF0A5A58958E91FBFE005 -S31540011850A1A488D41510006DA1A00130DD1AA1788C -S3154001186081AC0ACE010000001BBFFFD7F53FBFD0B8 -S315400118707FFFBE6390102002C51FBFD083A018C250 -S3154001188091A0492199A01928D51FBFE091A308CA63 -S315400118901710006D91A00128FD1AE18081AA0ADE88 -S315400118A0010000001BBFFFD5010000007FFFBE54B1 -S315400118B0901020034000017F01000000400001DD3F -S315400118C00100000080A2200002BFFFD301000000FA -S315400118D07FFFBE4B010000004000023001000000C6 -S315400118E080A2200002800004010000007FFFBE4468 -S315400118F09010200581C7E00881E800009DE3BF986C -S315400119007FFFFF7D210000047FFFFF7D90120010C5 -S315400119107FFFFF79B0102000808A00100280000B03 -S315400119209010200040000267010000007FFFBE4387 -S3154001193001000000912A20047FFFBE2C900220085E -S315400119407FFFFF800100000081C7E00881E80000B9 -S31540011950191000809813217811100200921020006E -S31540011960150FF76C9412A3D7D03B0000D42320085F -S31540011970C11B0000C503200887A089220100000081 -S3154001198089A005408DA0892281A8CA2601000000B0 -S3154001199033800003901020009010200181C3E0089D -S315400119A001000000C11A0000C51A400089A0084282 -S315400119B081C3E008C93A8000C11A0000C51A400037 -S315400119C089A0094281C3E008C93A80001910008004 -S315400119D098132178D0230000D2232008C1030000A8 -S315400119E0C303200885A00D2181C3E008C53A8000C4 -S315400119F0C11A0000C51A400089A009C2C93A80002F -S31540011A0081C3E00801000000C11A000085A005401D -S31540011A10C53A400081C3E008010000000100000012 -S31540011A20010000000100000001000000010000006B -S31540011A30010000000100000001000000010000005B -S31540011A40010000000100000001000000010000004B -S31540011A50010000000100000001000000010000003B -S31540011A60010000000100000001000000010000002B -S31540011A70010000000100000001000000010000001B -S31540011A80010000000100000001000000010000000B -S31540011A9001000000010000000100000081A00020BB -S31540011AA081C3E00801000000C11A000081C3E008BB -S31540011AB001000000C51A000089A009C2C93A4000C8 -S31540011AC081C3E00801000000131000809212617882 -S31540011AD0D0224000C102400085A01900C53A40000D -S31540011AE081C3E008D01A4000131000809212617839 -S31540011AF0D0224000C102400085A01880C522400086 -S31540011B0081C3E008D0024000151000809412A178EC -S31540011B10D03A8000C11A800085A01A40C5228000B3 -S31540011B2081C3E008D0028000151000809412A1788C -S31540011B30D0228000C102800085A01A20C5228000E3 -S31540011B4081C3E008D0028000151000809412A1786C -S31540011B50D0228000C102800081A01920C13A8000B4 -S31540011B6081C3E008D01A8000151000809412A17834 -S31540011B70D03A8000C11A800081A018C0C1228000DD -S31540011B8081C3E008D0028000151000809412A1782C -S31540011B90D0228000CB0280008DA00025CD2280007E -S31540011BA081C3E008D0028000151000809412A1780C -S31540011BB0D0228000CB0280008DA000A5CD228000DE -S31540011BC081C3E008D0028000151000809412A178EC -S31540011BD0D0228000CB0280008DA00125CD2280003D -S31540011BE081C3E008D0028000191000809813217843 -S31540011BF0D03B0000D43B2008C11B0000C51B200878 -S31540011C0081A80A420100000033800009901020009B -S31540011C1029800007901020012D8000059010200298 -S31540011C202F8000039010200391D0200081C3E0084B -S31540011C30010000001910008098132178D03B000064 -S31540011C40D43B2008C11B0000C51B200881A80AC23D -S31540011C500100000033BFFFF69010200029BFFFF4BA -S31540011C60901020012DBFFFF2901020022FBFFFF0F0 -S31540011C709010200391D020001910008098132178EC -S31540011C80D0230000D2232008C1030000C30320084B -S31540011C9081A80A210100000033BFFFE59010200012 -S31540011CA029BFFFE3901020012DBFFFE190102002D4 -S31540011CB02FBFFFDF9010200391D020001910008024 -S31540011CC098132178D0230000D2232008C1030000B5 -S31540011CD0C303200881A80AA10100000033BFFFD435 -S31540011CE09010200029BFFFD2901020012DBFFFD0B8 -S31540011CF0901020022FBFFFCE9010200391D02000DC -S31540011D001910008098132178D03B0000D43B20085D -S31540011D10C11B0000C51B200889A008C2C93B0000A1 -S31540011D2081C3E008D01B0000191000809813217868 -S31540011D30D0230000D2232008C1030000C30320089A -S31540011D4085A00821C523000081C3E008D003000017 -S31540011D501910008098132178D0230000D22320083F -S31540011D60C1030000C303200885A008A1C5230000C4 -S31540011D7081C3E008D0030000191000809813217830 -S31540011D80D0230000D2232008C1030000C30320084A -S31540011D9085A00921C523000081C3E008D0030000C6 -S31540011DA01910008098132178D0230000D2232008EF -S31540011DB0C1030000C303200885A009A1C523000073 -S31540011DC081C3E008D00300001910008098132178E0 -S31540011DD0D0230000C103000083A00520C3230000D7 -S31540011DE081C3E008D0030000131000809212619075 -S31540011DF0C51A6008C11A400089A0084091A108C2CD -S31540011E0095A209C495A2894281C3E008D53A00004A -S31540011E1013100080921261B0C1024000C3026004F7 -S31540011E2085A0082087A088A189A0C9A289A10921E6 -S31540011E3081C3E008C92200009610200213100080D9 -S31540011E4092126190151000809412A190D502400023 -S31540011E50D7028000D5220000D8020000131000806E -S31540011E60921261B096A2E00112BFFFF90100000093 -S31540011E7081C3E008010000001310008092126190B6 -S31540011E80151000809412A1B0C1028000C51A6010DD -S31540011E9083A0082089A088C08BA109A18DA10942F0 -S31540011EA08FA1492691A0054681C3E008D13A000099 -S31540011EB01110008090122188C11A0000C51A000035 -S31540011EC0C91A0000CD1A0000D11A0000D51A000027 -S31540011ED0D91A0000DD1A0000E11A0000E51A0000D7 -S31540011EE0E91A0000ED1A0000F11A0000F51A000087 -S31540011EF0F91A0000FD1A000081C3E0080100000044 -S31540011F0029100080A815215827100080A614E1C089 -S31540011F10C12CC000E604C000A134E00EA00C20078D -S31540011F20A0A42002AE1020002D100080AC15A1C047 -S31540011F30AE05E001AC05A008C1358000C12D000009 -S31540011F40EA050000AB35600DAA8D600112BFFFF9AD -S31540011F5001000000808000100280002F2B3C1FFFF3 -S31540011F60AA1563FFA60CC015E6250000C10D0000A9 -S31540011F702B100080AA1561CC2D100047AC15A3E0AB -S31540011F80AE25E001E0054000E025800081D8200033 -S31540011F9001000000010000000100000001000000F6 -S31540011FA001000000010000000100000001000000E6 -S31540011FB001000000010000000100000001000000D6 -S31540011FC001000000010000000100000001000000C6 -S31540011FD001000000010000000100000001000000B6 -S31540011FE00000000080A5C00012BFFFE6AA056008F8 -S31540011FF0C12D0000E60500002B03C000A614C01544 -S31540012000E6250000C10D000081C4400081CC80005E -S315400120100100000081C4800081CCA00401000000C1 -S315400120200100000081C3E008915800000100000052 -S315400120301110008090122158C10A0000C0220000F0 -S31540012040C10A0000C12A0000D40200001300038027 -S31540012050942A800980A0000A3280004D9010200306 -S315400120601303E000D223BFA0C023BFA4C10BBFA06E -S31540012070C023BFA0151000809412A170C102800038 -S315400120800100000001000000C10BBFA0C10BBFA4AD -S3154001209083A00520C12BBFA0D003BFA0808A220008 -S315400120A02280003B901020049010200015100080E3 -S315400120B09412A140C5028000C902A008D102A00C19 -S315400120C01310008092126170C70240008DA08944AE -S315400120D081A98AC8010000000380000501000000B3 -S315400120E0901020011080002A01000000C5028000E6 -S315400120F0C902A008D102A00C13100080921261708F -S31540012100CB0240008DA0894481A98AC80100000004 -S315400121100380000501000000901020011080001C82 -S315400121200100000025100080A414A168C11C800094 -S31540012130C51C800080A000003280000685A008C032 -S3154001214081A80AC2010000001380000301000000BB -S31540012150901020050100000025100080A414A168FC -S31540012160C11C8000C51C800080A000000100000049 -S315400121703280000685A008C081A80AC2010000007D -S3154001218013800003010000009010200701000000A9 -S3154001219081C3E00801000000901020019544000031 -S315400121A09532A01E940AA00380A2800002800040BE -S315400121B09010200080A2A0030280003D13100080F1 -S315400121C0921261F0C11A4000C51A6008FD026018FA -S315400121D095A0003E99A0003E9DA0003E170000C07C -S315400121E09612E078A182C0000100000001000000C3 -S315400121F00100000001000000010000000100000094 -S3154001220081A0002083A0002195A0002A99A0002C3E -S315400122109DA0002E170000C09612E07CA182C0004E -S315400122200100000001000000010000000100000063 -S31540012230010000000100000085A0002287A00023C4 -S31540012240A180000001000000010000000100000023 -S3154001225001000000010000000100000089A00842C1 -S31540012260A9A2883ED93A4000DD224000CD1A60102D -S31540012270D102600881A90A46010000000380000CD2 -S3154001228081AD0A2801000000038000099344000043 -S315400122909332601B920A60079010200080A2A00131 -S315400122A002800003902260079022600481C3E00807 -S315400122B001000000C12BBFA081C3E008D003BFA02D -S315400122C0D023BFA081C3E008C10BBFA0010000001D -S315400122D09DE3BF6040001B19B0102000913A200ACF -S315400122E0900A200380A220011280111101000000F2 -S315400122F07FFFBBBE9010200D190C40291B23CD1B1F -S315400123009410200096102000981320069A13609B83 -S31540012310D43FBFE0D43FBFC0D43FBFE87FFFFF42B9 -S31540012320D83FBFF0900A3000032804009012208065 -S315400123308210600FC22200001B1000479002200449 -S315400123409A1363009A234008191000009B3B6002D0 -S31540012350032784009A13400C82106010C222200485 -S315400123607FFFFED4DA2200007FFFFFD61103E00093 -S315400123702D100080C025A1C07FFFFDD49010200004 -S31540012380809200091280000601000000C205A1C02A -S3154001239080A0600002800004010000007FFFBB981E -S315400123A09010200B7FFFFDC990103FFA03300600C5 -S315400123B080A200011280000480A2600002800EDB30 -S315400123C0010000007FFFBB8E9010200B7FFFFDBFF9 -S315400123D09010201403100D0080A200011280000409 -S315400123E080A2600002800ECC010000007FFFBB840A -S315400123F09010200B7FFFFDB5901020620310162030 -S3154001240080A200011280000480A2600002800EBDFD -S31540012410010000007FFFBB7A9010200B7FFFFDB3C8 -S31540012420901020050310280080A2000112800006AA -S3154001243001000000C205A1C080A060000280000426 -S31540012440010000007FFFBB6E9010200B7FFFFF9DB8 -S315400124501103C000111C00007FFFFDAC921020004B -S31540012460031FFFFF821063FF80A200011280000A52 -S315400124701B000070C205A1C09A13601F190000100D -S315400124808208400D9813201080A0400C0280000560 -S31540012490113C00007FFFBB5A9010200C113C0000FC -S315400124A07FFFFD9A921020000320000080A20001C8 -S315400124B01280000A1B000070C205A1C09A13601F5A -S315400124C0190000108208400D9813201080A0400C7E -S315400124D002800004010000007FFFBB499010200CE0 -S315400124E0C025A1C0901020007FFFFD8892102000DA -S315400124F080A220001280000601000000C205A1C092 -S3154001250080A0600002800005110144007FFFBB3CB2 -S315400125109010200C11014400132840009012230210 -S315400125207FFFFD7A9212600180A220001280000690 -S3154001253001000000C205A1C080A060002280000504 -S315400125401111FFFF7FFFBB2E9010200C1111FFFFD1 -S315400125507FFFFD76901223FF0300007F821063FF09 -S3154001256080A200011280000601000000C205A1C040 -S3154001257080A06000028000052F1000857FFFBB20F0 -S315400125809010200C2F1000857FFFFD60D01DE0C804 -S31540012590031FFFFF821063FF80A200011280000A21 -S315400125A01B000070C205A1C09A13601F19000010DC -S315400125B08208400D9813201080A0400C028000052F -S315400125C0031000857FFFBB0E9010200C0310008581 -S315400125D0D01860D8C025A1C07FFFFD4C0100000086 -S315400125E00320000080A200011280000A1B00007037 -S315400125F0C205A1C09A13601F190000108208400D40 -S315400126009813201080A0400C028000051910008507 -S315400126107FFFBAFB9010200C19100085C025A1C080 -S315400126207FFFFD3AD01B20B8031FFFFF821063FFD7 -S3154001263080A200011280000A1B000070C205A1C0E1 -S315400126409A13601F190000108208400D981320103C -S3154001265080A0400C02800005331000857FFFBAE858 -S315400126609010200C33100085C025A1C07FFFFD27A7 -S31540012670D01E60F0C205A1C08330600E820860039F -S3154001268080A0600202800004211000807FFFBADC36 -S315400126909010200C7FFFFF0B1103C000111158044D -S315400126A0C02421C07FFFFD299012223403102B0044 -S315400126B08210624680A200011280000503200000BC -S315400126C080A2400102800FC5010000007FFFBACC05 -S315400126D09010200D113C02AF7FFFFD1C901220D1BE -S315400126E0033180558210639A80A200011280000551 -S315400126F00308000080A2400102800FDB01000000B8 -S315400127007FFFBABF9010200D1111FC007FFFFD170E -S3154001271092102000031FE00080A200011280000AEF -S315400127201B000070C205A1C09A13601F190000105A -S315400127308208400D9813200880A0400C02800005B5 -S3154001274011207C017FFFBAAE9010200D11207C0133 -S31540012750C025A1C0901220307FFFFD041300010067 -S315400127600320000080A200011280000A1B000070B5 -S31540012770C205A1C09A13601F190000108208400DBE -S315400127809813200480A0400C028000040100000040 -S315400127907FFFBA9B9010200DC025A1C0901020004C -S315400127A07FFFFCF29210200080A2200012800006DA -S315400127B001000000C205A1C080A0600002800004A3 -S315400127C0010000007FFFBA8E9010200D7FFFFCE7CD -S315400127D0D01DE0C803100085DA0060C080A2000D5C -S315400127E01280000601000000C205A1C080A0600061 -S315400127F0028000051B1000857FFFBA819010200DD5 -S315400128001B1000857FFFFCD9D01B60D0031FE00061 -S3154001281080A200011280000601000000C205A1C08D -S3154001282080A0600002800005031000857FFFBA7416 -S315400128309010200D031000857FFFFCCCD01860B8A6 -S3154001284003100085DA0060A880A2000D1280000AFC -S315400128501B000070C205A1C09A13601F1900001029 -S315400128608208400D9813201080A0400C028000047D -S31540012870010000007FFFBA629010200DC025A1C063 -S315400128807FFFFCBAD01E60F0C205A1C08330600E46 -S315400128908208600380A06002028000042310008049 -S315400128A07FFFBA579010200D7FFFFE861103E0008F -S315400128B02108C6AF901420DEC02461C07FFFFCB35F -S315400128C0A01420DE80A20010128000060100000044 -S315400128D0C20461C080A06000028000052108C6AF25 -S315400128E07FFFBA479010200E2108C6AF7FFFFCB785 -S315400128F0901420DEA01420DE80A200101280000673 -S3154001290001000000C205A1C080A060002280000530 -S315400129101128C6AF7FFFBA3A9010200E1128C6AFD4 -S315400129207FFFFCAA901220DE0308C6AF821060DE4C -S3154001293080A200011280000601000000C205A1C06C -S3154001294080A06000228000051108C6AF7FFFBA2C27 -S315400129509010200E1108C6AF7FFFFC94901220DE26 -S315400129600328C6AF821060DE80A2000112800006F5 -S3154001297001000000C205A1C080A0600022800005C0 -S315400129801128C6AF7FFFBA1E9010200E1128C6AF80 -S315400129907FFFFC86901220DE0308C6AF821060DE00 -S315400129A080A200011280000601000000C205A1C0FC -S315400129B080A0600002800004010000007FFFBA1081 -S315400129C09010200E7FFFFE3F1103E00011151BC042 -S315400129D01310C82115351BC01710C82190122103A9 -S315400129E0921261419412A1037FFFFC809612E1414C -S315400129F080A220021280000601000000C205A1C08B -S31540012A0080A060000280000511351BC07FFFB9FC24 -S31540012A109010200F11351BC01310C82115151BC06E -S31540012A201710C82190122103921261419412A103F9 -S31540012A307FFFFC6E9612E14180A2200112800006C2 -S31540012A4001000000C205A1C080A06000028000050F -S31540012A50901020007FFFB9EA9010200F90102000BF -S31540012A6092102000152000007FFFFC609610200088 -S31540012A7080A220001280000601000000C205A1C00C -S31540012A8080A0600002800005191000857FFFB9DC37 -S31540012A909010200F191000851B100085D01B20D0E7 -S31540012AA07FFFFC52D41B60D880A220021280000610 -S31540012AB001000000C205A1C080A06000028000059F -S31540012AC011151BC07FFFB9CE9010200F11151BC0E9 -S31540012AD01310C82115151BE81710C82190122103A0 -S31540012AE0921261419412A1037FFFFC409612E1418B -S31540012AF080A220011280000601000000C205A1C08B -S31540012B0080A060000280000511151BE87FFFB9BC5B -S31540012B109010200F11151BE81310C82115151BC065 -S31540012B201710C82190122103921261419412A103F8 -S31540012B307FFFFC2E9612E14180A220021280000600 -S31540012B4001000000C205A1C080A06000028000050E -S31540012B5011151BE87FFFB9AA9010200F11151BE82C -S31540012B601310C82190122103921261417FFFFC1F6D -S31540012B70D41DE0C880A22003128000060100000097 -S31540012B80C205A1C080A06000028000050310008537 -S31540012B907FFFB99B9010200F0310008511151BE88C -S31540012BA01310C82190122103921261417FFFFC0F3D -S31540012BB0D41860B880A220031280000A1B0000705E -S31540012BC0C205A1C09A13601F190000108208400D6A -S31540012BD09813201080A0400C0280000511151BE8B7 -S31540012BE07FFFB9879010200F11151BE81310C821DC -S31540012BF0C025A1C090122103921261417FFFFBFBC8 -S31540012C00D41E60F080A2200212800006010000005E -S31540012C10C205A1C080A060000280000515151BE811 -S31540012C207FFFB9779010200F15151BE81710C821A3 -S31540012C30D01E60F09412A1037FFFFBEC9612E14196 -S31540012C4080A220011280000601000000C205A1C039 -S31540012C5080A060000280000515151BE87FFFB9685A -S31540012C609010200F15151BE81710C821D01DE0C87C -S31540012C709412A1037FFFFBDD9612E14180A220035E -S31540012C801280000601000000C205A1C080A06000BC -S31540012C9002800005191000857FFFB9599010200F59 -S31540012CA01910008515151BE81710C821D01B20B82F -S31540012CB09412A1037FFFFBCD9612E14180A220032E -S31540012CC01280000A1B000070C205A1C09A13601F42 -S31540012CD0190000108208400D9813201080A0400C66 -S31540012CE00280000511151BC07FFFB9459010200FCA -S31540012CF011151BC01310C82115351BC01710C8214B -S31540012D00C025A1C090122103921261419412A103E0 -S31540012D107FFFFBC99612E14180A220021280000684 -S31540012D2001000000C205A1C080A06000028000052C -S31540012D3011351BC07FFFB9329010200F11351BC0D2 -S31540012D401310C82115151BC01710C8219012210355 -S31540012D50921261419412A1037FFFFBB79612E141A2 -S31540012D6080A220011280000601000000C205A1C018 -S31540012D7080A0600002800005901020007FFFB920EE -S31540012D809010200F90102000921020001520000076 -S31540012D907FFFFBA99610200080A22000128000062A -S31540012DA001000000C205A1C080A0600002800005AC -S31540012DB01B1000857FFFB9129010200F1B10008554 -S31540012DC003100085D01B60D07FFFFB9BD41860D8D1 -S31540012DD080A220021280000601000000C205A1C0A7 -S31540012DE080A060000280000511151BC07FFFB90459 -S31540012DF09010200F11151BC01310C82115151BE883 -S31540012E001710C82190122103921261419412A10315 -S31540012E107FFFFB899612E14180A2200112800006C4 -S31540012E2001000000C205A1C080A06000028000052B -S31540012E3011151BE87FFFB8F29010200F11151BE802 -S31540012E401310C82115151BC01710C8219012210354 -S31540012E50921261419412A1037FFFFB779612E141E1 -S31540012E6080A220021280000601000000C205A1C016 -S31540012E7080A060000280000511151BE87FFFB8E0C5 -S31540012E809010200F11151BE81310C8219012210331 -S31540012E90921261417FFFFB68D41DE0C880A22003E6 -S31540012EA01280000A1B000070C205A1C09A13601F60 -S31540012EB0190000108208400D9813201080A0400C84 -S31540012EC002800005191000857FFFB8CD9010200FB4 -S31540012ED01910008511151BE81310C8219012210302 -S31540012EE0921261417FFFFB54D41B20B880A220037C -S31540012EF01280000A1B000070C205A1C09A13601F10 -S31540012F00190000108208400D9813201080A0400C33 -S31540012F100280000511151BE87FFFB8B99010200FFC -S31540012F2011151BE81310C821C025A1C09012210319 -S31540012F30921261417FFFFB40D41E60F080A22002C5 -S31540012F401280000601000000C205A1C080A06000F9 -S31540012F500280000515151BE87FFFB8A99010200FC8 -S31540012F6015151BE81710C821D01E60F09412A10355 -S31540012F707FFFFB319612E14180A2200112800006BB -S31540012F8001000000C205A1C080A0600002800005CA -S31540012F9015151BE87FFFB89A9010200F15151BE8F1 -S31540012FA01710C821D01DE0C89412A1037FFFFB2250 -S31540012FB09612E14180A220031280000A1B00007094 -S31540012FC0C205A1C09A13601F190000108208400D66 -S31540012FD09813201080A0400C028000051B1000852C -S31540012FE07FFFB8879010200F1B10008515151BE831 -S31540012FF01710C821D01B60B89412A1037FFFFB0EA6 -S315400130009612E14180A220031280000A1B00007043 -S31540013010C205A1C09A13601F190000108208400D15 -S315400130209813201080A0400C02800005110048EA48 -S315400130307FFFB8739010200F110048EA13048D15D5 -S31540013040C025A1C0901223CD7FFFFB0C921262785E -S3154001305080A220011280000601000000C205A1C025 -S3154001306080A0600002800005110048EA7FFFB86435 -S315400130709010200F110048EA13048D15901223CDAC -S315400130807FFFFB0F9212627880A220011280000618 -S3154001309001000000C205A1C080A0600002800004BA -S315400130A0010000007FFFB8569010200FC025A1C037 -S315400130B07FFFFC841103C000291001449007BFF033 -S315400130C09207BFE87FFFFA3894152120C207BFF067 -S315400130D0DA05212080A0400D1280000782152120AB -S315400130E0DA006004C207BFF480A0400D02800D598A -S315400130F0010000007FFFB842901020103710008574 -S315400131009007BFF09216E0E07FFFFA279415212041 -S31540013110C206E0E0DA05212080A340018215212084 -S31540013120128000079816E0E0DA006004C20320042A -S3154001313080A3400102800D42010000007FFFB830AC -S31540013140901020109007BFF09215E0C87FFFFA1645 -S3154001315094152120C205E0C8DA05212080A340014B -S3154001316082152120128000079815E0C8DA00600414 -S31540013170C203200480A3400102800D2C01000000FF -S315400131807FFFB81F9010201003100085921060B881 -S315400131909007BFF07FFFFA04941521201B000070B1 -S315400131A0C205A1C09A13601F190000108208400D84 -S315400131B09813201080A0400C028000042110014485 -S315400131C07FFFB80F9010201003100080C02061C00F -S315400131D07FFFFC3C901020001310008594142120A1 -S315400131E0921260B87FFFF9F09007BFF03910008561 -S315400131F0C20720B0DA04212080A340019414212083 -S3154001320012800007821720B0DA02A004C2006004CF -S3154001321080A3400102800004010000007FFFB7F84F -S31540013220901020107FFFFC271103C0009007BFF0CC -S31540013230921660F07FFFF9DC94152120C205A1C0EA -S315400132408330600E8208600380A060022110014431 -S3154001325002800004231000807FFFB7E99010201000 -S3154001326094142120C02461C09007BFE87FFFF9CEA6 -S315400132709207BFF0DA042120C207BFF080A34001C4 -S315400132801280000794142120DA02A004C207BFF479 -S3154001329080A3400102800D21010000007FFFB7D8C5 -S315400132A0901020109007BFE89216E0E07FFFF9BE2C -S315400132B094152120C206E0E0DA05212080A34001D1 -S315400132C082152120128000079816E0E0DA0060049A -S315400132D0C203200480A3400102800D0B01000000BF -S315400132E07FFFB7C7901020109007BFE89215E0C83E -S315400132F07FFFF9AD94152120C205E0C8DA052120EA -S3154001330080A3400182152120128000079815E0C84C -S31540013310DA006004C203200480A3400102800CF558 -S31540013320010000007FFFB7B69010201019100085EC -S31540013330921320B89007BFE87FFFF99B941521208F -S315400133401B000070C205A1C09A13601F190000102E -S315400133508208400D9813201080A0400C0280000482 -S31540013360211001447FFFB7A6901020107FFFFBD5A7 -S31540013370901020001310008594142120921260B8F9 -S315400133807FFFF9899007BFE8C20720B0DA04212000 -S3154001339080A340019414212012800007821720B097 -S315400133A0DA02A004C200600480A340010280000446 -S315400133B0010000007FFFB792901020107FFFFBC1F4 -S315400133C01103C0009007BFE8921660F07FFFF976BF -S315400133D094152120C205A1C08330600E8208600386 -S315400133E080A0600221100144028000042310008065 -S315400133F07FFFB7839010201094142120C02461C010 -S315400134009016E0E07FFFF9689207BFF0C206E0E060 -S31540013410DA04212080A34001941421201280000760 -S315400134208216E0E0DA02A004C200600480A34001F3 -S3154001343002800CAB010000007FFFB7719010201095 -S315400134409016E0E09207BFE87FFFF95794152120D7 -S31540013450C206E0E0DA05212080A340018215212041 -S31540013460128000079816E0E0DA006004C2032004E7 -S3154001347080A3400102800C95010000007FFFB760E8 -S31540013480901020101B100085901360D092100008F8 -S315400134907FFFF9459415212019100085C20320D0DC -S315400134A0DA05212080A340018215212012800007E0 -S315400134B0981320D0DA006004C203200480A340019F -S315400134C002800C7D010000007FFFB74D9010201057 -S315400134D01B100085901360D8921000087FFFF932C7 -S315400134E09415212019100085C20320D8DA05212020 -S315400134F080A340018215212012800007981320D80D -S31540013500DA006004C203200480A3400102800C65F6 -S31540013510010000007FFFB73A901020101B10008574 -S3154001352003100085901360D8921060D07FFFF91E7A -S31540013530941521201B000070C205A1C09A13601F7B -S31540013540190000108208400D9813201080A0400CED -S3154001355002800004211001447FFFB72990102010FA -S315400135607FFFFB5890102000111000851310008535 -S3154001357094142120901220D87FFFF90B921260D02B -S31540013580C20720B0DA04212080A3400194142120EF -S3154001359012800007821720B0DA02A004C20060043C -S315400135A080A3400102800004010000007FFFB714A0 -S315400135B0901020107FFFFB431103C00019100085B6 -S315400135C01B100085901320D0921360D8C025A1C04E -S315400135D07FFFF8F594152120C20720B0DA05212096 -S315400135E080A340018215212012800007981720B040 -S315400135F0DA006004C203200480A3400102800C1F4C -S315400136001B0000707FFFB6FE901020109016E0E080 -S31540013610921660F07FFFF8E494152120C205A1C0FF -S315400136208330600E8208600380A06002211001444D -S3154001363002800004231000807FFFB6F19010201015 -S3154001364094142120C02461C09015E0C87FFFF8D6AC -S315400136509207BFF0C205E0C8DA04212080A34001E9 -S3154001366094142120128000078215E0C8DA02A004D2 -S31540013670C200600480A3400102800B9C010000004F -S315400136807FFFB6DF901020109015E0C89207BFE883 -S315400136907FFFF8C594152120C205E0C8DA0521202F -S315400136A080A3400182152120128000079815E0C8A9 -S315400136B0DA006004C203200480A3400102800B8625 -S315400136C0010000007FFFB6CE901020109015E0C893 -S315400136D09216E0E07FFFF8B494152120C205E0C8B8 -S315400136E0DA05212080A3400182152120128000079E -S315400136F09815E0C8DA006004C203200480A34001A3 -S3154001370002800B70010000007FFFB6BD90102010B3 -S315400137109015E0C8921000087FFFF8A39415212068 -S31540013720C205E0C8DA05212080A340018215212087 -S31540013730128000079815E0C8DA006004C20320042D -S3154001374080A3400102800B5A010000007FFFB6AC06 -S315400137509010201003100085921060B89015E0C8B3 -S315400137607FFFF8919415212003000070A610601F79 -S31540013770DA05A1C0030000109A0B4013AA1060108D -S3154001378080A34015231000852510014402800004C2 -S31540013790211000807FFFB69A90102010C02421C0CE -S315400137A0901460B89207BFF07FFFF87F9414A12070 -S315400137B0C20421C08208401380A040150280000443 -S315400137C0010000007FFFB68E90102010C02421C05A -S315400137D0901460B89207BFE87FFFF8739414A12054 -S315400137E0C20421C08208401380A040150280000413 -S315400137F0010000007FFFB68290102010C02421C036 -S31540013800901460B89216E0E07FFFF8679414A12007 -S31540013810C20421C08208401380A0401502800005E1 -S31540013820131000857FFFB676901020101310008587 -S31540013830C02421C0921260C8901460B87FFFF85A24 -S315400138409414A120C20421C08208401380A04015CF -S3154001385002800005901460B87FFFB6699010201071 -S31540013860901460B8C02421C0921000087FFFF84E22 -S315400138709414A120C20421C08208401380A040159F -S3154001388002800004010000007FFFB65D9010201009 -S31540013890C02421C0901460B8921660F07FFFF842B0 -S315400138A09414A120C20421C08330600E82086003B3 -S315400138B080A06002228000051103C0007FFFB65040 -S315400138C0901020101103C0007FFFFA7E3B10008448 -S315400138D0A2176210C02421C0AA14A120A40460101A -S315400138E0A0102000A6046008B010200C920400131A -S315400138F0900400117FFFF82C94152120DA048010E2 -S3154001390098040012C2052120A004201880A340017A -S315400139101280000790102010DA032004C2056004CB -S3154001392080A3400122800005B0863FFF7FFFB63469 -S3154001393001000000B0863FFF1CBFFFEE920400135A -S31540013940C205A1C080A06000128009890100000063 -S315400139501110008490122348920220087FFFF8122A -S315400139609415212098176210C2052120DA032148B7 -S3154001397080A340011280000782152120DA03214CE1 -S31540013980C200600480A3400122800AAB031000807C -S315400139907FFFB61B901020101110008490122360F7 -S315400139A0920220087FFFF800941521209817621093 -S315400139B0C2052120DA03216080A34001128000075D -S315400139C082152120DA032164C200600480A34001EC -S315400139D002800AA41B0000707FFFB60990102010D8 -S315400139E01110008490122378920220087FFFF7EE8F -S315400139F09415212098176210C2052120DA032178F7 -S31540013A0080A340011280000782152120DA03217C20 -S31540013A10C200600480A3400102800A9C1B00007022 -S31540013A207FFFB5F790102010C025A1C011100000EE -S31540013A3092102000150FFC007FFFF8B2961020006F -S31540013A40030FFC0080A200011280000880A26000E2 -S31540013A501280000601000000C205A1C080A06000DE -S31540013A6002800005111000007FFFB5E5901020107F -S31540013A701110000092102000152FFC007FFFF8A1C5 -S31540013A80961020000310020080A200011280000857 -S31540013A9080A260001280000601000000C205A1C09C -S31540013AA080A0600002800005113000007FFFB5D480 -S31540013AB0901020101130000092102000150FFC00CC -S31540013AC07FFFF890961020000330020080A200018B -S31540013AD01280000880A260001280000601000000EA -S31540013AE0C205A1C080A0600002800005113000001F -S31540013AF07FFFB5C3901020101130000092102000B6 -S31540013B00152FFC007FFFF87F96102000032FFC0045 -S31540013B1080A200011280000880A260001280000687 -S31540013B2001000000C205A1C080A06000028000051E -S31540013B30111000007FFFB5B2901020101110000047 -S31540013B407FFFF87A130FE0000310100080A20001F6 -S31540013B501280000601000000C205A1C080A06000DD -S31540013B6002800005111000007FFFB5A590102010BE -S31540013B70111000007FFFF877130FE000030FE000FC -S31540013B8080A200011280000601000000C205A1C00A -S31540013B9080A0600022800005191000857FFFB5983E -S31540013BA09010201019100085921320E8C025A1C05D -S31540013BB09007BFF07FFFF78F9415212019100085DC -S31540013BC0C20320D8DA05212080A340018215212095 -S31540013BD012800007981320D8DA006004C20320043B -S31540013BE080A3400102800A461B0000707FFFB58416 -S31540013BF0901020111B100085921360D0C025A1C0E2 -S31540013C009007BFF07FFFF77B94152120C207BFE0E5 -S31540013C10DA05212080A34001128000078215212068 -S31540013C20DA006004C207BFE480A3400102800A3E75 -S31540013C30010000007FFFB572901020119007BFF080 -S31540013C409215E0C87FFFF76B94152120C205E0C8A5 -S31540013C50DA05212080A34001821521201280000728 -S31540013C609815E0C8DA006004C203200480A340012D -S31540013C7002800A32010000007FFFB56190102011D9 -S31540013C8003100085921060B89007BFF07FFFF75987 -S31540013C9094152120C20720B0DA05212080A34001D6 -S31540013CA08215212012800007981720B0DA0060049F -S31540013CB0C203200480A3400102800A251B00007034 -S31540013CC07FFFB54F901020119007BFF0921660F01C -S31540013CD07FFFF74894152120C205A1C01B00007043 -S31540013CE08208400D1900002080A0400C211001449B -S31540013CF002800004231000807FFFB54190102011FF -S31540013D0094142120C02461C09007BFE87FFFF73992 -S31540013D109207BFF0DA042120C207BFE880A3400121 -S31540013D201280000794142120DA02A004C207BFECD6 -S31540013D3080A3400102800A10010000007FFFB530D8 -S31540013D409010201119100085901320E89207BFE0CA -S31540013D507FFFF72894152120C20720B0DA052120DC -S31540013D6080A340018215212012800007981720B0B8 -S31540013D70DA006004C203200480A3400102800A03E2 -S31540013D801B0000707FFFB51E901020111B1000858F -S31540013D9003100085901360E8921060D0C025A1C041 -S31540013DA07FFFF7149415212019100085C20320E8DE -S31540013DB0DA05212080A340018215212012800007C7 -S31540013DC0981320E8DA006004C203200480A340016E -S31540013DD0028009F8010000007FFFB509901020110B -S31540013DE09007BFE89215E0C87FFFF702941521209E -S31540013DF0C205E0C8DA05212080A3400182152120B1 -S31540013E00128000079815E0C8DA006004C203200456 -S31540013E1080A34001028009EC010000007FFFB4F855 -S31540013E20901020071B100085921360B89007BFE8D9 -S31540013E307FFFF6F09415212025000070D805A1C01A -S31540013E409A14A01F03000010980B000D82106010F9 -S31540013E5080A3000121100144028000042310008048 -S31540013E607FFFB4E790102011C02461C09007BFE8DE -S31540013E70921660F07FFFF6DF94142120C20461C0E0 -S31540013E80820840121B00002080A0400D22800005C0 -S31540013E90031000857FFFB4DA9010201103100085CE -S31540013EA0901060D894142120C02461C07FFFF6D1C0 -S31540013EB09207BFF019100085C20320D8DA042120E9 -S31540013EC080A340019414212012800007821320D838 -S31540013ED0DA02A004C200600480A34001028008B84F -S31540013EE0010000007FFFB4C6901020111B10008511 -S31540013EF003100085901360D8921060E87FFFF6BDED -S31540013F009415212019100085C20320D0DA052120FD -S31540013F1080A340018215212012800007981320D0EA -S31540013F20DA006004C203200480A34001028008A98C -S31540013F30010000007FFFB4B2901020119016E0E01E -S31540013F40921000087FFFF6AB94152120C20720B0DE -S31540013F50DA05212080A34001821521201280000725 -S31540013F60981720B0DA006004C203200480A3400100 -S31540013F700280089D1B0000707FFFB4A190102011A4 -S31540013F80C025A1C09016E0E09215E0C87FFFF699E2 -S31540013F9094152120C205E0C8DA05212080A34001FD -S31540013FA082152120128000079815E0C8DA006004C6 -S31540013FB0C203200480A3400102800894010000004E -S31540013FC07FFFB48F901020111B100085921360B8AB -S31540013FD09016E0E07FFFF68794152120C20720B0B6 -S31540013FE0DA05212080A34001821521201280000795 -S31540013FF0981720B0DA006004C203200480A3400170 -S31540014000028008871B0000707FFFB47D901020114D -S31540014010C025A1C09016E0E0921660F07FFFF675CC -S3154001402094152120C205A1C01B0000708208400DD5 -S315400140301900002080A0400C23100080028000045B -S31540014040211001447FFFB46E901020119414212059 -S31540014050C02461C09015E0C87FFFF6669207BFF0A5 -S31540014060C205E0C8DA04212080A34001941421202E -S31540014070128000078215E0C8DA02A004C20060047B -S3154001408080A340010280086F010000007FFFB45CFD -S31540014090901020119015E0C89207BFE87FFFF655B2 -S315400140A094152120C205E0C8DA05212080A34001EC -S315400140B082152120128000079815E0C8DA006004B5 -S315400140C0C203200480A3400102800863010000006E -S315400140D07FFFB44B901020119015E0C89216E0E096 -S315400140E07FFFF64494152120C205E0C8DA05212058 -S315400140F080A3400182152120128000079815E0C84F -S31540014100DA006004C203200480A3400102800857FC -S31540014110010000007FFFB43A901020119015E0C8CD -S31540014120921000087FFFF63394152120C205E0C89E -S31540014130DA05212080A34001821521201280000743 -S315400141409815E0C8DA006004C203200480A3400148 -S31540014150028007F3010000007FFFB429901020116F -S3154001416003100085921060B89015E0C87FFFF621D4 -S3154001417094152120C20720B0DA05212080A34001F1 -S315400141808215212012800007981720B0DA006004BA -S31540014190C203200480A34001028007E61B00007091 -S315400141A07FFFB417901020119015E0C8921660F069 -S315400141B07FFFF61094152120C205A1C03100007081 -S315400141C0820840183B00002080A0401D2310014476 -S315400141D002800004211000807FFFB4099010201155 -S315400141E019100085901320B89207BFF07FFFF601A2 -S315400141F094146120C20421C0AA16201F3500001064 -S3154001420082084015A616A01080A040130280000423 -S31540014210251000857FFFB3FA90102011C02421C0DC -S315400142209014A0B89207BFE87FFFF5F2941461207D -S31540014230C20421C08208401580A0401302800004B8 -S31540014240010000007FFFB3EE90102011C02421C071 -S315400142509014A0B89216E0E07FFFF5E69414612031 -S31540014260C20421C08208401580A040130280000587 -S31540014270131000857FFFB3E29010201113100085C3 -S31540014280C02421C0921260C89014A0B87FFFF5D90E -S3154001429094146120C20421C08208401580A04013B5 -S315400142A0028000059014A0B87FFFB3D5901020116D -S315400142B09014A0B8C02421C0921000087FFFF5CD0C -S315400142C094146120C20421C08208401580A0401385 -S315400142D002800005131000857FFFB3C9901020119D -S315400142E013100085C02421C09014A0B8921260F02A -S315400142F07FFFF5C094146120C20421C08208401892 -S3154001430080A0401D2280000515203E837FFFB3BC5F -S315400143109010201115203E83170021C89412A3FF47 -S315400143209612E3A1191FC0001B00C0009A1360B08A -S3154001433098132102D43FBFD0D83FBFD8C02421C053 -S315400143409007BFD89207BFD07FFFF5AA9407BFC891 -S31540014350DA07BFC8033FFC0080A340010280070B78 -S31540014360A207BFC87FFFB3A6901020111510868300 -S31540014370170021C89412A3FF9612E3A11900400029 -S315400143801B00C0009A1360B098132102D43FBFD0DE -S31540014390D83FBFD8C025A1C09007BFD89207BFD08C -S315400143A07FFFF5949407BFC8DA046004C207BFC80B -S315400143B08090400D1280000A1B000070C205A1C00A -S315400143C09A13601F190000108208400D98132004AB -S315400143D080A0400C22800005150FFC007FFFB388AA -S315400143E090102011150FFC00170281D89412A0409D -S315400143F09612E10C9A102010190006AFD43FBFD097 -S31540014400D83FBFD8C025A1C09007BFD89207BFD01B -S315400144107FFFF5789407BFC8030006AEDA07BFC829 -S315400144208210639580A340011280000703003A9AE7 -S31540014430DA0460048210630F80A3400102800733CF -S31540014440010000007FFFB36E90102011150FFFFF92 -S31540014450170281D89412A3409612E10C9A102010AB -S31540014460190006AFD43FBFD0D83FBFD8C025A1C0A1 -S315400144709007BFD89207BFD07FFFF55E9407BFC8AC -S31540014480DA046004C207BFC88090400D1280000A5A -S315400144901B000070C205A1C09A13601F19000010CD -S315400144A08208400D9813200480A0400C028000042D -S315400144B0010000007FFFB35290102011C025A1C01A -S315400144C0111088007FFFF63713100100031066C9EB -S315400144D0821062CA80A2000112800006010000001B -S315400144E0C205A1C080A06000028000051111BBFE7B -S315400144F07FFFB343901020111111BBFE901223FF91 -S315400145007FFFF628130C7040031527CA8210611EDF -S3154001451080A200011280000601000000C205A1C070 -S3154001452080A06000028000051310C7FF7FFFB334EF -S31540014530901020111310C7FF921263FC7FFFF619EA -S31540014540111E607E031D73FC8210633880A2000138 -S315400145501280000601000000C205A1C080A06000D3 -S3154001456002800005130FE0007FFFB3259010201154 -S31540014570130FE000921260017FFFF60A110020003E -S3154001458080A220001280000A1B000070C205A1C053 -S315400145909A13601F190000108208400D98132004D9 -S315400145A080A0400C02800005110FE0007FFFB3148C -S315400145B090102011110FE000C025A1C07FFFF5F931 -S315400145C092100008030FE00080A20001128000064D -S315400145D001000000C205A1C080A060000280000564 -S315400145E0130FE0007FFFB30690102011130FE00078 -S315400145F0921260017FFFF5EB1100200080A220009E -S315400146001280000A1B000070C205A1C09A13601FE8 -S31540014610190000108208400D9813200480A0400C18 -S31540014620028000051B1000857FFFB2F59010201116 -S315400146301B100085921360E8C025A1C09007BFF00A -S315400146407FFFF4DE9415212019100085C20320E86E -S31540014650DA05212080A3400182152120128000071E -S31540014660981320E8DA006004C203200480A34001C5 -S31540014670028006BA010000007FFFB2E190102012CD -S315400146801B100085921360D09007BFF07FFFF4CBDB -S315400146909415212019100085C20320D0DA05212066 -S315400146A080A340018215212012800007981320D053 -S315400146B0DA006004C203200480A34001028006ACF4 -S315400146C0010000007FFFB2CE901020129007BFF08C -S315400146D09215E0C87FFFF4B994152120C205E0C8C0 -S315400146E0DA05212080A3400182152120128000078E -S315400146F09815E0C8DA006004C203200480A3400193 -S31540014700028006A0010000007FFFB2BD901020127A -S315400147101B100085921360B89007BFF07FFFF4A786 -S3154001472094152120C20720B0DA05212080A340013B -S315400147308215212012800007981720B0DA00600404 -S31540014740C203200480A34001028006931B0000702F -S315400147507FFFB2AB901020129007BFF0921660F027 -S315400147607FFFF49694152120C205A1C01B0000705D -S315400147708208400D1900002080A0400C2110014400 -S3154001478002800004231000807FFFB29D901020120A -S3154001479094142120C02461C09007BFE87FFFF487AD -S315400147A09207BFF0DA042120C207BFE880A3400187 -S315400147B01280000794142120DA02A004C207BFEC3C -S315400147C080A34001028006DE010000007FFFB28C1B -S315400147D0901020120310008519100085901060E892 -S315400147E0921320D87FFFF47594152120C20720B07B -S315400147F0DA05212080A3400182152120128000077D -S31540014800981720B0DA006004C203200480A3400157 -S31540014810028006D01B0000707FFFB27990102012F3 -S31540014820C025A1C09007BFE89215E0C87FFFF46399 -S3154001483094152120C205E0C8DA05212080A3400154 -S3154001484082152120128000079815E0C8DA0060041D -S31540014850C203200480A34001028006C70100000074 -S315400148607FFFB267901024991B100085921360B8A0 -S315400148709007BFE87FFFF45194152120C20720B06D -S31540014880DA05212080A340018215212012800007EC -S31540014890981720B0DA006004C203200480A34001C7 -S315400148A0028006BA1B0000707FFFB255901020129D -S315400148B09007BFE8921660F07FFFF44094152120DF -S315400148C0C205A1C01B0000708208400D19000020DE -S315400148D080A0400C21100144028000042310008076 -S315400148E07FFFB2479010201294142120C02461C04A -S315400148F09016E0E07FFFF4319207BFF0C206E0E098 -S31540014900DA04212080A3400194142120128000075B -S315400149108216E0E0DA02A004C200600480A34001EE -S31540014920028006A4010000007FFFB23590102012DC -S315400149309016E0E09207BFE87FFFF420941521200E -S31540014940C20720B0DA05212080A34001821521202B -S3154001495012800007981720B0DA006004C2032004D1 -S3154001496080A34001028006981B0000707FFFB2249D -S3154001497090102012C025A1C09016E0E09215E0C823 -S315400149807FFFF40E94152120C205E0C8DA052120E7 -S3154001499080A3400182152120128000079815E0C8A6 -S315400149A0DA006004C203200480A340010280068F1E -S315400149B0010000007FFFB212901020120310008503 -S315400149C0921060B89016E0E07FFFF3FC9415212029 -S315400149D0C20720B0DA05212080A34001821521209B -S315400149E012800007981720B0DA006004C203200441 -S315400149F080A34001028006821B0000707FFFB20047 -S31540014A00901020129016E0E0921660F07FFFF3EBD3 -S31540014A1094152120C205A1C01B0000708208400DDB -S31540014A201900002080A0400C21100144028000049E -S31540014A30231000807FFFB1F29010201294142120A0 -S31540014A40C02461C09015E0C87FFFF3DC9207BFF038 -S31540014A50C205E0C8DA04212080A340019414212034 -S31540014A60128000078215E0C8DA02A004C200600481 -S31540014A7080A340010280061E010000007FFFB1E0D5 -S31540014A80901020129015E0C89207BFE87FFFF3CB44 -S31540014A9094152120C205E0C8DA05212080A34001F2 -S31540014AA082152120128000079815E0C8DA006004BB -S31540014AB0C203200480A340010280061201000000C7 -S31540014AC07FFFB1CF901020129015E0C89216E0E01A -S31540014AD07FFFF3BA94152120C205E0C8DA052120EB -S31540014AE080A3400182152120128000079815E0C855 -S31540014AF0DA006004C203200480A340010280060656 -S31540014B00010000007FFFB1BE901020129015E0C851 -S31540014B10921000087FFFF3A994152120C205E0C831 -S31540014B20DA05212080A34001821521201280000749 -S31540014B309815E0C8DA006004C203200480A340014E -S31540014B40028005FA010000007FFFB1AD90102012EE -S31540014B50191000859015E0C8921320B87FFFF3978E -S31540014B609415212003000070A610601FDA05A1C02C -S31540014B70030000109A0B4013AA10601080A3401541 -S31540014B802510008523100144028000042110008075 -S31540014B907FFFB19B90102012C02421C09014A0B871 -S31540014BA09207BFF07FFFF38594146120C20421C0B0 -S31540014BB08208401380A040150280000401000000D5 -S31540014BC07FFFB18F90102012C02421C09014A0B84D -S31540014BD09207BFE87FFFF37994146120C20421C094 -S31540014BE08208401380A040150280000401000000A5 -S31540014BF07FFFB18390102012C02421C09014A0B829 -S31540014C009216E0E07FFFF36D94146120C20421C047 -S31540014C108208401380A040150280000513100085CC -S31540014C207FFFB1779010201213100085C02421C058 -S31540014C30921260C89014A0B87FFFF360941461206B -S31540014C40C20421C08208401380A04015028000059D -S31540014C509014A0B87FFFB16A901020129014A0B8AA -S31540014C60C02421C0921000087FFFF35494146120A0 -S31540014C70C20421C08208401380A04015028000046E -S31540014C80010000007FFFB15E90102012C02421C0B8 -S31540014C909014A0B8921660F07FFFF34894146120F7 -S31540014CA0C20421C08330600E8208600380A0600286 -S31540014CB0228000051103C0007FFFB15190102012E0 -S31540014CC01103C0007FFFF57F3B100084A41763905A -S31540014CD0AA146120C02421C0A604A008A210200065 -S31540014CE0B0102005A00440129204401394152120CF -S31540014CF07FFFF33290100010DA042010C205212004 -S31540014D00A204601880A3400112800007901020126F -S31540014D10DA042014C205600480A3400102800474B1 -S31540014D20010000007FFFB13601000000B0863FFF61 -S31540014D303CBFFFEEA00440121110008590122020C6 -S31540014D40920220087FFFF31D941521209817639046 -S31540014D50C2052120DA0320A080A34001128000076A -S31540014D6082152120DA0320A4C200600480A34001F9 -S31540014D70028004DC1B0000707FFFB12190102012DD -S31540014D801110008590122038C025A1C0920220083A -S31540014D907FFFF30A9415212098176390C2052120BD -S31540014DA0DA0320B880A34001128000078215212032 -S31540014DB0DA0320BCC200600480A340010280047F64 -S31540014DC01B0000707FFFB10E90102012111000855C -S31540014DD090122050C025A1C0920220087FFFF2F711 -S31540014DE09415212098176390C2052120DA0320D01B -S31540014DF080A340011280000782152120DA0320D4C6 -S31540014E00C200600480A34001028004761B0000704A -S31540014E107FFFB0FB90102012111000859012206880 -S31540014E20C025A1C0920220087FFFF2E494152120FB -S31540014E3098176390C2052120DA0320E880A3400138 -S31540014E401280000782152120DA0320ECC20060049B -S31540014E5080A340010280046D1B0000707FFFB0E813 -S31540014E60901020121110008590122080C025A1C0FB -S31540014E70920220087FFFF2D1941521209817639062 -S31540014E80C2052120DA03210080A3400112800007D8 -S31540014E9082152120DA032104C200600480A3400167 -S31540014EA0028004641B0000707FFFB0D59010201271 -S31540014EB0C025A1C0111010007FFFF3B013100000F0 -S31540014EC00310300080A2000112800006010000009C -S31540014ED0C205A1C080A0600002800005111FE0004C -S31540014EE07FFFB0C790102012111FE0001310000081 -S31540014EF07FFFF2B794152120031FFC00DA0521201C -S31540014F0080A340011280000A82152120C20060045C -S31540014F1080A060001280000601000000C205A1C009 -S31540014F2080A0600002800005111FE0007FFFB0B441 -S31540014F309010201B111FE000133000007FFFF2A4E8 -S31540014F4094152120033FFC00DA05212080A340016E -S31540014F501280000A82152120C200600480A06000F0 -S31540014F601280000601000000C205A1C080A06000B9 -S31540014F7002800005111000007FFFB0A19010201C97 -S31540014F8011100000921020107FFFF29194152120FC -S31540014F90C205A1C01B0000708208400D1900002007 -S31540014FA080A0400C2110014402800004231000809F -S31540014FB07FFFB0939010201D94142120C02461C01E -S31540014FC0110020007FFFF282130FC000DA04212076 -S31540014FD0030E000080A340011280000A9414212090 -S31540014FE0C202A00480A060001280000601000000F9 -S31540014FF0C20461C080A0600002800005111FDFFF6E -S315400150007FFFB07F9010201E111FDFFF901223FFFC -S31540015010131000007FFFF26E941521200311FFFF4C -S31540015020821063FFDA05212080A340011280000728 -S3154001503082152120DA0060040338000080A3400174 -S3154001504002800405010000007FFFB06D9010201F13 -S31540015050111FD000130FF0007FFFF25D9415212040 -S315400150600311FC80DA05212080A340011280000A49 -S3154001507082152120C200600480A0600012800006D3 -S3154001508001000000C205A1C080A0600002800005A9 -S31540015090111FDFFF7FFFB05A90102021111FDFFF44 -S315400150A0901223FF921000087FFFF24994152120A8 -S315400150B00313FBFF821063FFDA05212080A3400121 -S315400150C01280000882152120DA00600403300000B6 -S315400150D08210602080A34001028003E401000000A9 -S315400150E07FFFB047901020207FFFF4761103C00068 -S315400150F0C025A1C09007BFE07FFFF2449215212051 -S31540015100C207BFE0DA05212080A3400112800007D3 -S3154001511082152120DA006004C207BFE480A3400162 -S31540015120028003D7010000007FFFB03590102013A5 -S315400151301B100085901360E87FFFF2349215212001 -S3154001514003100085DA0060E8C205212080A0400DE9 -S31540015150191000858215212012800007901320E83E -S31540015160DA006004C202200480A34001028003C920 -S31540015170010000007FFFB022901020131B10008514 -S31540015180901360D07FFFF2219215212019100085DE -S31540015190C20320D0DA05212080A3400182152120B7 -S315400151A012800007981320D0DA006004C20320045D -S315400151B080A34001028003BC010000007FFFB010C4 -S315400151C0901020131B100085901360D87FFFF20FBB -S315400151D092152120C20720B0DA05212080A3400183 -S315400151E08215212012800007981720B0DA0060044A -S315400151F0C203200480A34001028003B01B0000705B -S315400152007FFFAFFF9010201303100085901060B808 -S31540015210C025A1C07FFFF1FD92152120C20720B014 -S31540015220DA05212080A34001821521201280000742 -S31540015230981720B0DA006004C203200480A340011D -S315400152400280040F1B0000707FFFAFED901020130A -S31540015250C025A1C09015E0C87FFFF1EC9215212031 -S31540015260C2052120DA05E0C880A0400D8215212023 -S31540015270128000079015E0C8DA006004C2022004DB -S3154001528080A3400102800407010000007FFFAFDCDC -S3154001529090102013170C00089A10200019100C00CA -S315400152A015300F789612E001D83FBFF0D43FBFC00A -S315400152B09007BFF07FFFF1D592152120031004001E -S315400152C0DA05212080A340011280000A821521209F -S315400152D0C200600480A06000128000060100000048 -S315400152E0C205A1C080A06000028000059007BFC032 -S315400152F07FFFAFC3901020139007BFC07FFFF1C35C -S3154001530092152120C2052120DA0720B080A0400D48 -S315400153108215212012800007981720B0DA00600418 -S31540015320C203200480A34001028003E31B000070F6 -S315400153307FFFAFB390102013901660F07FFFF1B35B -S3154001534092152120C205A1C08330600E82086003F8 -S3154001535080A0600202800004211000807FFFAFA878 -S3154001536090102013C02421C07FFFF2981111F20042 -S315400153700310E80080A2000112800006010000002F -S31540015380C20421C080A06000028000040100000028 -S315400153907FFFAF9B901020137FFFF3CA11100000CF -S315400153A01101F5897FFFF289901221E20308E96430 -S315400153B080A200011280000601000000C205A1C0C2 -S315400153C080A0600002800004010000007FFFAF8CD6 -S315400153D0901020237FFFF27D1112A2080311410094 -S315400153E080A200011280000601000000C205A1C092 -S315400153F080A0600002800004010000007FFFAF80B2 -S31540015400901020237FFFF3AF110010009007BFF0EB -S31540015410921660F07FFFF1649415212003100C0071 -S31540015420DA05212080A340011280000A821521203D -S31540015430C200600480A060001280000601000000E6 -S31540015440C205A1C080A0600002800005901660F0F0 -S315400154507FFFAF6B90102014901660F09207BFC08B -S315400154607FFFF1569415212003200000DA05212003 -S3154001547080A340011280000A82152120C2006004E7 -S3154001548080A060001280000601000000C205A1C094 -S3154001549080A0600002800004010000007FFFAF5839 -S315400154A0901020147FFFF19190102001030FE0002E -S315400154B080A200011280000601000000C205A1C0C1 -S315400154C080A0600002800004010000007FFFAF4C15 -S315400154D0901020147FFFF17D90102001030FFC00F6 -S315400154E080A200011280000880A26000128000069E -S315400154F001000000C205A1C080A060000280000436 -S31540015500010000007FFFAF3E901020147FFFF36D36 -S315400155101110100019100085D41B20D07FFFF1F91E -S31540015520D01E60F003100085D03D2120DA0060D8FE -S31540015530C205212080A0400D191000858215212029 -S3154001554012800007901320D8DA006004C2022004BA -S3154001555080A3400102800361010000007FFFAF2864 -S31540015560901020227FFFF35711100000170400808E -S31540015570150F28009612E0F09A102000190FFC0032 -S31540015580D43FBFC0D83FBFF09007BFF09207BFC01E -S315400155907FFFF10594152120030FFC00DA05212038 -S315400155A080A340011280000682152120C2006004BA -S315400155B080A0600002800004010000007FFFAF1060 -S315400155C0901020157FFFF33F112000001700004087 -S315400155D0150014009612E0019A102000190FFC00E4 -S315400155E0D43FBFC0D83FBFF09007BFF09207BFC0BE -S315400155F07FFFF0ED94152120030FFC00DA052120F1 -S3154001560080A340011280000682152120C200600459 -S3154001561080A0600102800004010000007FFFAEF817 -S31540015620901020157FFFF32711300000170000402E -S31540015630152014009612E0019A102000192FFC0043 -S31540015640D43FBFC0D83FBFF09007BFF09207BFC05D -S315400156507FFFF0D594152120032FFC00DA05212088 -S3154001566080A340011280000682152120C2006004F9 -S3154001567080A0600102800004010000007FFFAEE0CF -S31540015680901020157FFFF30F11100000190FFC0039 -S315400156909A102000D83FBFF09007BFF09216E0E085 -S315400156A07FFFF0C194152120C206E0E0DA052120F2 -S315400156B080A3400182152120128000079816E0E060 -S315400156C0DA006004C203200480A340010280000482 -S315400156D0010000007FFFAECA901020157FFFF2F94E -S315400156E01120000017000040150014009612E00139 -S315400156F0190FFC009A102000D43FBFC0D83FBFF01D -S315400157009007BFF09216E0E07FFFF0A794152120A5 -S31540015710C206E0E0DA05212080A34001821521205E -S31540015720128000079816E0E0DA006004C203200404 -S3154001573080A3400102800004010000007FFFAEB05B -S31540015740901020157FFFF2DF113000001700004056 -S31540015750152014009612E0019A102000192FFC0022 -S31540015760D43FBFC0D83FBFF09007BFF09216E0E0EC -S315400157707FFFF08D94152120C2052120DA06E0E055 -S3154001578080A0400D82152120128000079016E0E08E -S31540015790DA006004C202200480A3400102800004B2 -S315400157A0010000007FFFAE96901020157FFFF2C5E5 -S315400157B01110000015100000961020019A102001CA -S315400157C0190FFC00D43FBFC0D83FBFF09007BFF0D0 -S315400157D09207BFC07FFFF079941521200310000086 -S315400157E0DA05212080A3400112800006821521207E -S315400157F0C200600480A06002028000040100000033 -S315400158007FFFAE7F901020157FFFF2AE1120000082 -S315400158109007BFF09207BFC07FFFF0689415212023 -S3154001582003100000DA05212080A340011280000602 -S3154001583082152120C200600480A06003028000041A -S31540015840010000007FFFAE6E901020157FFFF29D94 -S31540015850113000009A102001192FFC00D83FBFF0EB -S315400158609007BFF09207BFC07FFFF05494152120E7 -S3154001587003300000DA05212080A340011280000692 -S3154001588082152120C200600480A0600302800004CA -S31540015890010000007FFFAE5A901020157FFFF2896C -S315400158A011100000150FFC04172F26159412A01293 -S315400158B09612E231190FFEAE1B1CD2E89A13601103 -S315400158C098132154D43FBFC0D83FBFF09007BFF0D3 -S315400158D09207BFC07FFFF04794152120030FFEA713 -S315400158E082106296DA05212080A3400112800008C9 -S315400158F082152120DA006004032C1B348210602FAC -S3154001590080A3400102800004010000007FFFAE3CFD -S31540015910901020157FFFF26B112000009007BFF019 -S315400159209207BFC07FFFF03394152120030FFEA7D6 -S3154001593082106296DA05212080A340011280000878 -S3154001594082152120DA006004032C1B34821060305A -S3154001595080A3400102800004010000007FFFAE28C1 -S31540015960901020157FFFF25711300000192FFC04CB -S315400159701B2F26159A13623198132012D83FBFC0A8 -S315400159809007BFF09207BFC07FFFF01A9415212000 -S31540015990032FFEA782106296DA05212080A34001DB -S315400159A01280000882152120DA006004032C1B3482 -S315400159B08210603080A34001028000040100000093 -S315400159C07FFFAE0F901020157FFFF23E11100000B1 -S315400159D015101000961020009A102000191038005A -S315400159E0D43FBFC0D83FBFF09007BFF09207BFC0BA -S315400159F07FFFF0009415212003102400DA052120B1 -S31540015A0080A340011280000682152120C200600455 -S31540015A1080A0600002800004010000007FFFADF815 -S31540015A20901020157FFFF227112000009007BFF04C -S31540015A309207BFC07FFFEFEF94152120031024008A -S31540015A40DA05212080A3400112800006821521201B -S31540015A50C200600480A060000280000401000000D2 -S31540015A607FFFADE7901020157FFFF2161130000041 -S31540015A709007BFF09207BFC07FFFEFDE941521204C -S31540015A8003102400DA05212080A34001128000067C -S31540015A9082152120C200600480A0600002800004BB -S31540015AA0010000007FFFADD6901020157FFFF20563 -S31540015AB011100000210FE000110020007FFFF0B916 -S31540015AC09214200180A220001280012301000000CF -S31540015AD07FFFF1FC11200000110020007FFFF0B193 -S31540015AE09214200180A220001280011801000000BA -S31540015AF07FFFF1F411300000921420017FFFF0A9DD -S31540015B001100200080A220001280010D010000003A -S31540015B107FFFF1EC111000009A102000190FFC00D4 -S31540015B20D83FBFF09007BFF07FFFEFB89215212015 -S31540015B30C207BFF0DA05212080A340011280000789 -S31540015B4082152120DA006004C207BFF480A3400118 -S31540015B50028002A7010000007FFFADA99010201529 -S31540015B607FFFF1D8112000009007BFF07FFFEFA71C -S31540015B7092152120C207BFF0DA05212080A34001FA -S31540015B801280000782152120DA006004C207BFF4A3 -S31540015B9080A340010280029B010000007FFFAD9877 -S31540015BA0901020157FFFF1C7113000009007BFF01C -S31540015BB07FFFEF9692152120C207BFF0DA0521201B -S31540015BC080A340011280000782152120DA0060047B -S31540015BD0C207BFF480A340010280028F010000008A -S31540015BE07FFFAD87901020157FFFF1B611100000A1 -S31540015BF0030FDFFF901063FF7FFFF074A0100008D2 -S31540015C0080A2001002800004010000007FFFAD7CED -S31540015C10901020157FFFF1AB112000007FFFF06B44 -S31540015C2090100010030FE00080A2000102800004E2 -S31540015C30010000007FFFAD72901020157FFFF1A19A -S31540015C40113000007FFFF0619010001080A200101B -S31540015C5002800004010000007FFFAD69901020150D -S31540015C607FFFF198901020007FFFF0609015212072 -S31540015C70032FFE00DA05212080A340011280000691 -S31540015C8082152120C200600480A0600002800005C8 -S31540015C90211001447FFFAD5A901020162110014476 -S31540015CA07FFFF05C90142128DA042128032FF000AD -S31540015CB080A3400102800004A21421287FFFAD5039 -S31540015CC0901020167FFFF05D90100011DA04212814 -S31540015CD0030FE00080A340010280000401000000A0 -S31540015CE07FFFAD47901020167FFFF064901521206D -S31540015CF0030FFBF7821063F0DA05212080A34001F0 -S31540015D001280000882152120DA0060040303FF1285 -S31540015D108210604A80A3400102800005921660F01D -S31540015D207FFFAD3790102016921660F09007BFF0B6 -S31540015D307FFFEF3094152120C205A1C08330600E4C -S31540015D408208600780A060020280000519100046A3 -S31540015D507FFFAD2B901020171910004617100080B9 -S31540015D60DA02E1C8821321F880A0400DE00321F850 -S31540015D7002800004A212E1C87FFFAD2190102018D5 -S31540015D80C204600480A040100280000401000000AB -S31540015D907FFFAD1B90102018C204600880A06000F0 -S31540015DA01280007701000000C204600C80A06000F0 -S31540015DB01280006C010000007FFFEEE6010000004A -S31540015DC080A220010280019801000000190C40299F -S31540015DD01B23CD1B9A13609B981320069410200019 -S31540015DE096102000D83FBFF0D43FBFC07FFFEF2FB2 -S31540015DF09007BFF003100083A21062101B100081B0 -S31540015E0003100144A0136210A4106120B0102000B9 -S31540015E10832E2002DA044001DA27BFC09007BFC0B3 -S31540015E207FFFEF25921521209B2E2003D804000DDC -S31540015E30C2052120B00620019603401080A300012F -S31540015E401280000790102019DA02E004C204A0046F -S31540015E5080A340010280000580A620FF7FFFACE8B9 -S31540015E600100000080A620FF04BFFFEB832E200225 -S31540015E7003100083A41062101B100080031001441C -S31540015E80A2136210A6106120B0102000A12E20029C -S31540015E90C2048010C227BFC0921521207FFFEEDBCE -S31540015EA09007BFC0DA044010C2052120B006200287 -S31540015EB09804001180A34001128000079010201A17 -S31540015EC0DA032004C204E00480A3400102800005F5 -S31540015ED080A620FF7FFFACCA0100000080A620FFFC -S31540015EE024BFFFECA12E200230800211C205A1C0C1 -S31540015EF080A0600022BFFB8FB0863FFF30BFFB8A88 -S31540015F00C205A1C080A0600002BFF14501000000AA -S31540015F1030BFF141C205A1C080A0600002BFF13689 -S31540015F200100000030BFF132C205A1C080A060006F -S31540015F3002BFF1270100000030BFF1237FFFACB063 -S31540015F409010201530BFFEF37FFFACAD90102015A9 -S31540015F5030BFFEE87FFFACAA9010201530BFFEDDB2 -S31540015F607FFFACA79010201830BFFF947FFFACA4F1 -S31540015F709010201010BFF678111000847FFFACA05E -S31540015F809010201830BFFF89C207BFCC80A06000A7 -S31540015F9012BFF8F501000000C20421C08208401575 -S31540015FA09A16A00880A0400D12BFF8EF010000002C -S31540015FB010BFF8F015108683C205A1C09A13601F61 -S31540015FC0190000108208400D9813200880A0400C4B -S31540015FD012BFFB7D1110008510BFFB7F9012205030 -S31540015FE0C205A1C09A13601F190000108208400D16 -S31540015FF09813200880A0400C12BFFB861110008523 -S3154001600010BFFB8890122068C205A1C09A13601F79 -S31540016010190000108208400D9813200480A0400CFE -S3154001602012BFFB8F1110008510BFFB91901220808B -S31540016030C205A1C09A13601F190000108208400DC5 -S315400160409813200880A0400C12BFFB980100000065 -S3154001605030BFFB98C205A1C080A0600002BFFBFE15 -S31540016060111FD00030BFFBF9C205A1C080A060005E -S3154001607002BFFC1E0100000030BFFC1AC205A1C0D0 -S3154001608080A0600002BFFC2C1B10008530BFFC279E -S31540016090C205A1C080A0600002BFFC3A1B1000856A -S315400160A030BFFC35C205A1C080A0600002BFFC47DD -S315400160B01B10008530BFFC42C205A1C09A13601F68 -S315400160C0190000108208400D9813201080A0400C42 -S315400160D012BFFC4C0310008510BFFC4E901060B8F7 -S315400160E0C205A1C09A13601F190000108208400D15 -S315400160F09813200480A0400C12BFFB20111000858C -S3154001610010BFFB2290122038C205A1C080A06000BA -S3154001611022BFF8D0150FFFFF30BFF8CBC205A1C093 -S3154001612080A0600002BFF8100310008530BFF80B55 -S31540016130C205A1C09A13601F190000108208400DC4 -S315400161409813201080A0400C12BFF8169015E0C895 -S3154001615010BFF818921660F0C205A1C080A0600079 -S3154001616002BFF9491B10008530BFF944C205A1C0E1 -S3154001617080A0600002BFF9579007BFF030BFF952C7 -S31540016180C205A1C080A0600002BFF9631B10008553 -S3154001619030BFF95EC205A1C09A13601F19000010F5 -S315400161A08208400D9813201080A0400C12BFF96957 -S315400161B09007BFF010BFF96B921660F0C20461C040 -S315400161C080A0600002BFF74B1B10008530BFF74629 -S315400161D0C205A1C080A0600002BFF75A9016E0E058 -S315400161E030BFF755C205A1C09A13601F19000010B0 -S315400161F08208400D9813201080A0400C12BFF75F13 -S315400162000100000030BFF75FC205A1C080A0600059 -S3154001621002BFF76F1B10008530BFF76AC205A1C0E8 -S315400162209A13601F190000108208400D9813201020 -S3154001623080A0400C12BFF7750100000030BFF77512 -S31540016240C20461C080A0600002BFF7949015E0C807 -S3154001625030BFF78FC205A1C080A0600002BFF7A082 -S315400162609015E0C830BFF79BC205A1C080A0600071 -S3154001627002BFF7AC9015E0C830BFF7A7C205A1C071 -S315400162809A13601F190000108208400D98132010C0 -S3154001629080A0400C12BFFBED0100000030BFFBEDBA -S315400162A0C205A1C080A0600002BFFBFC170C00081C -S315400162B030BFFBF7C205A1C09A13601F1900001039 -S315400162C08208400D9813201080A0400C12BFFC1983 -S315400162D0901660F030BFFC1AC205A1C080A06000D4 -S315400162E002BFFCA10100000030BFFC9DC20461C099 -S315400162F080A0600002BFF9E59015E0C830BFF9E023 -S31540016300C205A1C080A0600002BFF9F19015E0C8A6 -S3154001631030BFF9ECC205A1C080A0600002BFF9FD03 -S315400163209015E0C830BFF9F8C205A1C080A0600051 -S3154001633002BFFA091910008530BFFA04C20461C0D0 -S3154001634080A0600002BFF9250310008530BFF92007 -S31540016350C205A1C09A13601F190000108208400DA2 -S315400163609813201080A0400C12BFF92C01000000A8 -S3154001637030BFF92CC205A1C080A0600002BFF93C24 -S315400163801B10008530BFF937C205A1C09A13601FA3 -S31540016390190000108208400D9813201080A0400C6F -S315400163A012BFF9429007BFE810BFF944921660F058 -S315400163B0C20461C080A0600002BFF95F9016E0E0B0 -S315400163C030BFF95AC205A1C09A13601F19000010C7 -S315400163D08208400D9813201080A0400C12BFF9642A -S315400163E00100000030BFF964C205A1C080A0600071 -S315400163F002BFF9740310008530BFF96FC205A1C011 -S315400164009A13601F190000108208400D981320103E -S3154001641080A0400C12BFF97A9016E0E010BFF97CDB -S31540016420921660F07FFFAB769010201910BFFE697F -S31540016430190C4029D80061C01B0000709A13601FD7 -S3154001644003000010980B000D8210600880A3000124 -S3154001645012BFF5501110008410BFF55290122360FF -S31540016460C205A1C09A13601F190000108208400D91 -S315400164709813200480A0400C12BFF55811100084D7 -S3154001648010BFF55A90122378C205A1C09A13601F16 -S31540016490190000108208400D9813200480A0400C7A -S315400164A012BFF5600100000030BFF560C205A1C012 -S315400164B080A0600002BFF4A90310008530BFF4A498 -S315400164C0C205A1C080A0600002BFF4939015E0C848 -S315400164D030BFF48EC205A1C080A0600002BFF47D2A -S315400164E09015E0C830BFF478C20461C080A0600056 -S315400164F002BFF4679015E0C830BFF462C205A1C07F -S315400165009A13601F190000108208400D981320024B -S3154001651080A0400C12BFF5B61B10008510BFF5B820 -S31540016520921360D0C205A1C080A0600002BFF5C52C -S315400165309007BFF030BFF5C0C205A1C080A0600082 -S3154001654002BFF5D10310008530BFF5CCC205A1C00D -S315400165509A13601F190000108208400D98132010ED -S3154001656080A0400C12BFF5D79007BFF010BFF5D9F8 -S31540016570921660F0C20461C080A0600022BFF5F3AC -S315400165801910008530BFF5EEC205A1C09A13601FF0 -S31540016590190000108208400D9813201080A0400C6D -S315400165A012BFF5F91B10008510BFF5FB03100085DE -S315400165B0C205A1C080A0600002BFF60B9007BFE8EC -S315400165C030BFF606C205A1C080A0600002BFF61723 -S315400165D01B10008530BFF612C20421C080A06000A6 -S315400165E022BFF03E113C02AF30BFF039C205A1C017 -S315400165F080A0600002BFFD5B0100000030BFFD5777 -S31540016600C205A1C080A0600002BFFD670100000075 -S3154001661030BFFD63C205A1C080A0600002BFFD730B -S315400166200100000030BFFD6FC205A1C080A060001F -S3154001663002BFF2D70310008530BFF2D2C205A1C016 -S3154001664080A0600002BFF2C19007BFF030BFF2BC2C -S31540016650C205A1C080A0600002BFF2AA3710008522 -S3154001666030BFF2A5C205A1C080A0600002BFF028DC -S315400166701111FC0030BFF023C205A1C09A13601F5F -S31540016680190000108208400D9813201080A0400C7C -S3154001669012BFF3DD9016E0E010BFF3DF921660F013 -S315400166A0C205A1C080A0600002BFF39E1B100085F9 -S315400166B030BFF399C205A1C080A0600002BFF38636 -S315400166C01B10008530BFF381C205A1C080A06000C8 -S315400166D002BFF36E1B10008530BFF369C20461C06F -S315400166E080A0600002BFF3589016E0E030BFF3533C -S315400166F0C205A1C080A0600002BFF30E191000853B -S3154001670030BFF309C205A1C080A0600002BFF2F804 -S315400167109007BFE830BFF2F3C20461C080A06000B9 -S3154001672002BFF2E29007BFE830BFF2DD81C7E00861 -S3154001673081E80000D27A000081C3E0080100000030 -S3154001674081C3E008900A20209332600492126001CE -S315400167508213C0007FFFFFF89E1040000100000039 -S3154001676081D8200081C3E008010000009DE3BF9865 -S315400167707FFFFFFC0100000082102400C0A04300FF -S3154001678081C7E00881E80000833220189A1000088A -S315400167908088600F028000049010200083336010CF -S315400167A09008600381C3E008010000009DE3BF98A3 -S315400167B0031000C01B1000C1B0106000A21360009E -S315400167C0031000C21B1000C2A4106000A613610092 -S315400167D0031000201B100020A8106168AA13600056 -S315400167E07FFFEBAD9010200C808A2008028001FECD -S315400167F0010000007FFFAA7D9010200ED08003206B -S315400168007FFFFFE2010000000310014380A2200246 -S31540016810028000C6D02060D080A22002148000E40D -S3154001682080A2200380A22001028000D1821020197B -S31540016830331000A02F1000A0391000A0371000A07F -S31540016840351000A07FFFFFC7210100007FFFFFC871 -S31540016850BA04A00C921000117FFFFFBC90100018E3 -S31540016860921000117FFFFFB990062004901000118D -S315400168707FFFFFB19210200ADA066014110800006A -S31540016880913A000DC205E010900A0001912A2002BA -S3154001689013008000900200117FFFFFA79212600A49 -S315400168A0DA06601411100000913A000DC205E0109D -S315400168B0900A0001912A2002900200117FFFFF9E5B -S315400168C09214208EDA066014111C0000913A000DD4 -S315400168D0C205E010900A0001912A20021301C0006E -S315400168E0900200117FFFFF949212608E9334A004B0 -S315400168F09004600C7FFFFF90921260019214201E5B -S315400169007FFFFF8D9004A0089010001D7FFFFF8A36 -S31540016910921020009334E004921260017FFFFF86BB -S315400169209004A004111000C2901221087FFFFF823B -S3154001693092102000031000E0A01060009334200460 -S31540016940111000C2901221047FFFFF7B9212601A40 -S3154001695003048D1582106278C2242004111000E0D0 -S3154001696015100120C0222000A2102003AC12A00065 -S31540016970A004E00C90047FFD40000A7592102003AC -S315400169801B100143C20360D08200600C932A0001B0 -S315400169909202401693326004901000107FFFFF660A -S315400169A09212601EA204600180A4600A04BFFFF235 -S315400169B0A0042004C206E008D807200C8208600122 -S315400169C08328400CD605E010111000E0D406A00043 -S315400169D0DA06601498122000960AE003972AC00D41 -S315400169E0920AA002111000A0DA022004940AA00122 -S315400169F0952A800D932A400D1B1000209612C00146 -S31540016A00E8236160030100001B0076418210601E8D -S31540016A109A1361C09212C00999332004C2252004F9 -S31540016A20DA2520089612C00A9E13200E913560047D -S31540016A301B1000C2031000C2821061049012201E76 -S31540016A40953620049813201E9A136108D625202CCA -S31540016A50D8252010D025201CD2252020DE2520280F -S31540016A60D6252014940ABFF0FA250000DA2520180D -S31540016A70C2252024C225200CA2102100D4A44320E3 -S31540016A80C2800320D88443209A102001A0102200FE -S31540016A90DAA40320D884032082102000C2A4032054 -S31540016AA0DAA0032081D820007FFFC55901000000EC -S31540016AB0C2800320E2844320E0840320DA05E0100B -S31540016AC0D6066014C206E0089A0B60039B2B400B66 -S31540016AD082086001D407200CD806A000111000A03E -S31540016AE08328400A980B2001D60220049A134001BC -S31540016AF0992B000B9A13400CC203400080A0600002 -S31540016B0012800008821360041B048D15D8004000D2 -S31540016B109A13627880A3000D02800038C205E01006 -S31540016B2010800000010000008210201A331000A0DE -S31540016B30C22660149810203F2F1000A0391000A0E3 -S31540016B40351000A09A1020148210200E151000A0B6 -S31540016B50371000A0DA27200CC222A004D826A000B4 -S31540016B60D825E01010BFFF38D826E0089A10207FBC -S31540016B70331000A02F1000A0C2266014DA25E010C1 -S31540016B809810203F391000A0351000A08210201324 -S31540016B909A10200D111000A0371000A0C227200C1A -S31540016BA0DA22200410BFFFF0D826A00012BFFF2230 -S31540016BB0331000A08210201CC22660149A102015A2 -S31540016BC08210207F391000A0371000A0DA27200C50 -S31540016BD0C226E0089810200F2F1000A0351000A003 -S31540016BE01B1000A08210203FD8236004C226A000BB -S31540016BF010BFFF15D825E010DA06E008D806601464 -S31540016C00820860039A0B6002D607200C8328400C49 -S31540016C109B2B400B8210400DD80040001B100000FA -S31540016C20C203400080A3000102800004D406E008AC -S31540016C301080000001000000C205E010980AA00182 -S31540016C40D207200CD6066014820860038328400BC5 -S31540016C50992B0009940AA0039810400CDA06A0006B -S31540016C60111000A0952A80099A0B6001D6022004D2 -S31540016C708210400AC20040009B2B400B9813000D26 -S31540016C800321D9509813200482106321C2230000A6 -S31540016C90DA03000080A3400102800004C206E00836 -S31540016CA01080000001000000D407200CDA05E01036 -S31540016CB082086001D60660148328400A9A0B600355 -S31540016CC0D806A0009B2B400B151000A0D602A004AD -S31540016CD0980B20019A134001992B000B9813400CF5 -S31540016CE08210000C05048D158410A278072AF37BC7 -S31540016CF08610E301C4384000C438400003048D15B2 -S31540016D00DA0300008210627880A340010280000409 -S31540016D108213200410800000010000001B2AF37B2F -S31540016D20D80040009A13630180A3000D12BFFFFAF9 -S31540016D30C205E010D6066014D806E0088208600352 -S31540016D40D407200C8328400B980B2001DA06A000BB -S31540016D50111000A0992B000A9A0B6003D602200459 -S31540016D608210400C9B2B400B15100143A010400D87 -S31540016D70F002A0D0A2102003E0240000C0A002200F -S31540016D80921020034000097290047FFD8206200C78 -S31540016D9098102001912A0001992B00011B10012016 -S31540016DA09610000182136000DA02000180A34010B0 -S31540016DB0A204600112800088A004000C80A4600A2D -S31540016DC024BFFFEFE0240000C0A0022003100120F1 -S31540016DD0A810000BAA106000A0102000A2102003EA -S31540016DE090047FFD4000095A92102003832C6002D3 -S31540016DF0912A0014DA04C00190020015820B6060EA -S31540016E009132200480A000019012201EA040001063 -S31540016E109A0B7F9F900A3F9F80A340081280007083 -S31540016E20A204600180A4600A24BFFFEF90047FFDA5 -S31540016E3080A4200012800004C205E01010800000EA -S31540016E4001000000D6066014D806E00882086003F7 -S31540016E50D407200CDA06A000111000A08328400BAD -S31540016E60980B2001992B000AD60220049A0B600246 -S31540016E708210400C9B2B400BAA10400D9FC5400031 -S31540016E800100000082102400C0A04300C0A00220DF -S31540016E90A0102000A21020039B2C6002C204C00D4A -S31540016EA08208606080A00001A0400010A204600139 -S31540016EB080A4600A24BFFFFA9B2C600280A42008AC -S31540016EC022800004D004E00410800000010000008C -S31540016ED0808A204002800007010000007FFFFE19E2 -S31540016EE00100000080A22000128000040100000081 -S31540016EF010800000010000007FFFFE12D004A008B0 -S31540016F0080A22000128000040100000010800000D1 -S31540016F10010000007FFFFE0BD004E00880A22000A4 -S31540016F2012800004010000001080000001000000F2 -S31540016F3083480000842860808188A0000100000009 -S31540016F400100000001000000D806E008D407200C2B -S31540016F50DA05E010980B2001D6066014992B000A39 -S31540016F609A0B6003C206A0009B2B400B151000A094 -S31540016F70D602A004820860018328400B9A13400C74 -S31540016F809A1340019A136004C203400092102004F0 -S31540016F9091D02002010000007FFFC41D01000000C6 -S31540016FA0981020009A102200D8A343208210200175 -S31540016FB0C2A34320D8A3432003100000DA804380B4 -S31540016FC0DAA043807FFFC47F9010200081D8200043 -S31540016FD03080000510800000010000001080000094 -S31540016FE00100000081C7E00891E820009DE3BF98B9 -S31540016FF0400007D201000000808A21000280003F44 -S31540017000010000007FFFA88D01000000912A2004A5 -S315400170107FFFA87690022005031001408210604848 -S31540017020DA00600480A3600022800015031001404D -S31540017030B0100001C20600009B38601F81836000CA -S31540017040DA06200401000000010000008278400DAC -S31540017050DA062008B006200C80A0400D028000040C -S31540017060901020017FFFA86601000000C20620049F -S3154001707080A0600012BFFFF0031001408210600043 -S31540017080DA00600480A36000028000150100000060 -S31540017090B0100001C206000081800000DA0620041B -S315400170A001000000010000009A70400D8210000DA1 -S315400170B0DA062008B006200C80A0400D02800004AC -S315400170C0901020027FFFA84E01000000C206200456 -S315400170D080A0600012BFFFF00100000040000825BB -S315400170E00100000080A2200012800004010000007F -S315400170F07FFFA8439010200381C7E00891E8200054 -S315400171009DE3BF987FFFA84D01000000912A20040E -S315400171107FFFA836900220044000078A0100000044 -S3154001712080A2212302800004010000007FFFA834D1 -S31540017130901020014000078101000000808A210053 -S315400171400280002B0310014082106184DA0060083E -S3154001715080A360090280001101000000B010000107 -S31540017160C2060000DA062004D80620088258400DDF -S31540017170B006200C80A0400C028000049010200232 -S315400171807FFFA81F01000000C206200880A06009F9 -S3154001719012BFFFF401000000400007770100000024 -S315400171A080A220000280000F01000000400007631A -S315400171B001000000808A22000280000D01000000CB -S315400171C04000079F0100000080A2200012800008B5 -S315400171D0010000007FFFA80A9010200430800004BF -S315400171E07FFFA8079010200330BFFFF181C7E00859 -S315400171F091E82000000000009DE3BFA0941020000C -S315400172001110005C9012222C1310005C9212623015 -S315400172101710005C9612E2381910005C9813223C54 -S3154001722093C2000081C240001080019181C2C0001A -S3154001723081C300001080018E9402A0019402A00136 -S3154001724080A2A0031280018A010000008744000049 -S315400172508D30E00E8C89A00780A1A000028000C776 -S3154001726001000000AF30E00BAE0DE00780A5E00065 -S31540017270128000C20100000080A1A00212800035E8 -S315400172800100000025100000E41C800025100000CC -S3154001729029100000A8152104A6100012AA100012F8 -S315400172A0AC100014A1802046A4100000AA100000D2 -S315400172B001000000A180204EA8102100010000001D -S315400172C0A18000000100000001000000E83CA03060 -S315400172D082A480131280016682A500161280016481 -S315400172E00100000001000000010000008744000089 -S315400172F08D30E00B8C89A0078CA1A0051280015C22 -S31540017300A180000001000000010000000100000012 -S31540017310E81CA03082A500161280015582A54012B4 -S31540017320A41000001280015201000000010000007B -S31540017330874400008D30E00B8C89A0078CA1A00307 -S315400173401280014B010000001080008C01000000FA -S3154001735080A1A0011280002325100000E41C8000BA -S315400173602510000029100000A8152104A6100012BE -S31540017370AA100012AC100014A1802046A4100000EF -S31540017380AA10000001000000A180204EA810210093 -S3154001739001000000A1800000010000000100000082 -S315400173A001000000E83C800082A480131280013075 -S315400173B082A500161280012E010000000100000086 -S315400173C0874400008D30E00B8C89A0078CA1A00278 -S315400173D012800127010000001080006801000000B2 -S315400173E080A1A00312800065A6100000A210200E05 -S315400173F0A1844000A6100000A1800000A814E0006E -S31540017400AB44000001000000AC14E00001000000A4 -S31540017410AF44000080A5200012800115AA8D6E00A0 -S3154001742080A540001280011280A5A00012800110A3 -S31540017430AF35E00BAE0DE00780A5E0011280010CEF -S3154001744001000000A0100000A1844000A610000029 -S31540017450A1800000E8180000AC100000AE1000004A -S31540017460EC04C000EE04E00480A500161280010081 -S3154001747080A54017128000FE01000000A5440000CF -S31540017480A534A00BA40CA00780A4A001128000F88B -S3154001749001000000A0100000A1844000A6100000D9 -S315400174A0A1800000A210200AA1844000A41000007F -S315400174B0A1800000E8180000AC100000AE100000EA -S315400174C0EC04C012EE04E00480A50016128000E828 -S315400174D080A54017128000E601000000A544000087 -S315400174E0A534A00BA40CA00780A4A002068000E04E -S315400174F00100000021100140A0142200EC1C0000F4 -S31540017500A0042008E81C0000A1844000A610000049 -S31540017510A1800000A210200EA1844000A4100010FA -S31540017520AC100000AE100000A18000000100000078 -S3154001753001000000EC3C8013AC100000AE100000CE -S31540017540E81CC01280A50016128000C980A540170C -S31540017550128000C701000000A5440000A534A00B1D -S31540017560A40CA00780A4A004128000C10100000061 -S3154001757010800002010000008B4440008A09601F10 -S3154001758080A160010280000A8C1000059DE3BFA026 -S315400175908AA1600116BFFFFE0100000081E80000DC -S315400175A08CA1A00116BFFFFE0100000001000000F2 -S315400175B001000000A023A080A02C20078E100010FF -S315400175C0A3480000E2240000C2242004C43C200851 -S315400175D0C83C2010CC3C2018F03C2020F43C20280C -S315400175E0F83C2030FC3C2038D03C2040D43C20485C -S315400175F0D83C2050DC3C2058A5500000E4242060B3 -S315400176008010200882102001841020028610200359 -S31540017610881020048A1020058C10200681900000D5 -S31540017620A42C601F818C8000010000000100000035 -S3154001763001000000030040408210610184100000F7 -S3154001764086100000894440008809201F86100004E6 -S31540017650A010000284004002A210000284004002F1 -S31540017660A410000284004002A610000284004002D9 -S31540017670A810000284004002AA10000284004002C1 -S31540017680AC10000284004002AE10000284004002A9 -S3154001769090100002840040029210000284004002D1 -S315400176A094100002840040029610000284004002B9 -S315400176B098100002840040029A10000284004002A1 -S315400176C09C100002840040029E1000028400400289 -S315400176D081E0000086A0E00116BFFFDE0100000048 -S315400176E003004040821061018410000086100004AE -S315400176F080A400021280003F8400400280A4400220 -S315400177001280003C8400400280A48002128000392D -S315400177108400400280A4C0021280003684004002E8 -S3154001772080A50002128000338400400280A54002F9 -S31540017730128000308400400280A580021280002D14 -S315400177408400400280A5C0021280002A84004002C3 -S3154001775080A20002128000278400400280A24002DB -S31540017760128000248400400280A2800212800021FF -S315400177708400400280A2C0021280001E84004002A2 -S3154001778080A300021280001B8400400280A34002B5 -S31540017790128000188400400280A3800212800015E6 -S315400177A08400400280A3C00212800012840040027D -S315400177B081E0000086A0E00116BFFFCE0100000077 -S315400177C080A020001280000B80A0FFFF12800009DC -S315400177D080A160051280000780A1A00612800005E5 -S315400177E001000000A010000710800006C0242020E0 -S315400177F0A01000079010200110800002D024202004 -S3154001780082100007C400400081888000010000000A -S315400178100100000001000000C4186008C81860108B -S31540017820CC186018F0186020F4186028F8186030F9 -S31540017830FC186038D0186040D4186048D818605099 -S31540017840DC186058E4006060C200600481948000E6 -S31540017850010000000100000001000000A010000727 -S31540017860F004202081C7E00881E8000010BFFFFC3A -S31540017870B01000000100000081D8200081C3E0085B -S31540017880010000001B100144D80361508210200101 -S315400178908328400C1B10014482007FFFD8036154AA -S315400178A082084008932A400C900040098213C00088 -S315400178B07FFFE77D9E104000010000001B10014440 -S315400178C0D8036150821020018328400C1B100144CB -S315400178D082007FFFD8036154932A400C82084008F6 -S315400178E0900040099210000A8213C0007FFFE770A2 -S315400178F09E104000010000001B100144D803615056 -S31540017900821020018328400C1B10014482007FFF16 -S31540017910D8036154932A400C8208400890004009DC -S315400179209210000A8213C0007FFFE7659E10400057 -S31540017930010000001B100144D80361508210200150 -S315400179408328400C1B10014482007FFFD8036154F9 -S3154001795082084008932A400C900040098213C000D7 -S315400179607FFFE7559E104000010000001B100144B7 -S31540017970D803615C821020018328400C1B1001440E -S3154001798082007FFFD803616C932A400C820840082D -S31540017990900040099210000A8213C0007FFFE74C15 -S315400179A09E104000010000001B100144D803615C99 -S315400179B0821020018328400C1B10014482007FFF66 -S315400179C0D803616C932A400C820840089000400914 -S315400179D09210000A8213C0007FFFE7419E104000CB -S315400179E0010000009DE3BF582D100144A210200064 -S315400179F0C205A16080A440011680001BA010200092 -S31540017A002B1001442910014427100144A4102001E0 -S31540017A10D005615C912C8008C205216C90023FFF24 -S31540017A20832C0001900A00187FFFE727900200018E -S31540017A30C204E14C901E0008900A000180A0000893 -S31540017A40A2647FFFA0042001C205A16080A40001B9 -S31540017A5026BFFFF1D005615C80A46000128000035F -S31540017A60B0102000B010200181C7E00881E8000075 -S31540017A701B100144D803615C821020018328400C0D -S31540017A801B10014482007FFFD803616C82084008C5 -S31540017A90932A400C900040098213C0007FFFE70AF9 -S31540017AA09E104000010000001B100144D803615C98 -S31540017AB0821020018328400C1B10014482007FFF65 -S31540017AC0D803616C82084008932A400C9000400913 -S31540017AD08213C0007FFFE7009E10400001000000B6 -S31540017AE0952AA00D0328000094028009D020400069 -S31540017AF09422B000D420600481C3E0080100000054 -S31540017B00033FFFBF821062F89DE38001193FFFBF2B -S31540017B1094132368B407BFF8031000459606800AFC -S31540017B2082106020C222E00C03100044821063E000 -S31540017B30C222E0041B1000449A1363C0033FFFBFF7 -S31540017B40DA26800A90132380331000458210635C45 -S31540017B5094068008981323C0921660008200401E46 -S31540017B60D222E008D4204000B006800C7FFFA59FBA -S31540017B70901020067FFFE6C890102000920A3FF041 -S31540017B807FFFE6C790102000210000307FFFE6C24C -S31540017B9090102000808A001012BFFFFD01000000F6 -S31540017BA07FFFFF36210000307FFFE6BB90102000AB -S31540017BB0808A001012BFFFFD010000007FFFE6B67C -S31540017BC090102000030020408210600F92120001A5 -S31540017BD07FFFE6B3901020007FFFE6AF90102008AC -S31540017BE021100144D02421487FFFE6AB9010200CA0 -S31540017BF0A73A2010993A2014A60CE007980B200FBB -S31540017C00DA042148A8230013A80520089F3B6014E5 -S31540017C10933B60109B3B601894050013A210200112 -S31540017C20AC03200A9E0BE00F96102400AE0B6003B6 -S31540017C309402A00221200000992AC00C1B10014485 -S31540017C408203E00A952C400A9424000A832C4001C1 -S31540017C50D8236168A02400011B100144031001448C -S31540017C60EC23615C972AC00FAA03E00A1B1001446A -S31540017C70D620614403100144EA236154EA2061504D -S31540017C801B10014403100144D423614C920A60073E -S31540017C90A53A2018D0206158153FFFBF932C4009C3 -S31540017CA0A40CA003031001449412A358932C400939 -S31540017CB0A404A0013910014437100144EC20616C41 -S31540017CC09B2C40149402801EE0272164E426E16047 -S31540017CD0AA027FFFDA228000AC85E0010280000C17 -S31540017CE0BB2C4013033FFFBF82106368A00680018F -S31540017CF0A4100016D00400009FC20000A004200476 -S31540017D00A484BFFF32BFFFFDD00400007FFFE662BF -S31540017D1090102000920A3FFC7FFFE6619010200000 -S31540017D20A410200080A4801616800022A2102000F4 -S31540017D30033FFFBFAE106368A610001AA0102000D3 -S31540017D4080A4001636800018A404A0011080000506 -S31540017D50A810001780A4001636800013A404A001C1 -S31540017D60921000107FFFFEC8D004C014820A00158D -S31540017D7080A0401512BFFFF8A0042001C204C01420 -S31540017D8082184008DA0721648208400D80A000016C -S31540017D90A2647FFF80A4001606BFFFF39210001075 -S31540017DA0A404A00180A4801606BFFFE5A604E00452 -S31540017DB07FFFE63990102000A610000890102000A1 -S31540017DC07FFFE6379214E00380A46000028001B190 -S31540017DD001000000833CE00C80886003128000A50E -S31540017DE0030048D1833CE01380886003128001ADD3 -S31540017DF0010000007FFFFEA1210000307FFFE62643 -S31540017E0090102000808A001012BFFFFDA6100008C6 -S31540017E10A4102000C206E16080A480011680000DF6 -S31540017E20832CA00292100012901000187FFFFED002 -S31540017E3094102000A404A001C206E16080A4800140 -S31540017E4006BFFFFA92100012A4102000832CA00254 -S31540017E50A404A001C026000180A4A01E04BFFFFD0A -S31540017E60832CA00282102005C22600009A10200110 -S31540017E70DA26200482102002C22620089A10200306 -S31540017E80DA26200C7FFFFED89010001880A2200031 -S31540017E90028001D301000000C206000080A06005F7 -S31540017EA002800004010000007FFFA4D59010200647 -S31540017EB07FFFFECD9010001880A22000128001C4E1 -S31540017EC001000000A4102000C206E16080A48001E8 -S31540017ED01680000F92100012A00620049410200074 -S31540017EE07FFFFEB290100018921000129010001001 -S31540017EF07FFFFEAE94102000A404A001C206E160FB -S31540017F0080A4800106BFFFF6921000127FFFE5F8BC -S31540017F10901000187FFFE5F6900620207FFFE5F4DC -S31540017F20900620407FFFE5F290062060A4102000D5 -S31540017F30C206E16080A4800106800196A21020005D -S31540017F407FFFA4AF90102008173FFFBF8212E3C006 -S31540017F50940680018212E35C8200401ED81A80009A -S31540017F60C2004000D83840009612E380C206800B1A -S31540017F7080A06005128000068206800BDA0060044C -S31540017F8080A3600102800005A41020007FFFA49C0D -S31540017F9090102009A4102000C206E16080A480014F -S31540017FA016800011A2102000A00620049210001293 -S31540017FB07FFFFEBE90100010901A200180A000089D -S31540017FC0A2647FFFA404A001C206E16080A48001EF -S31540017FD006BFFFF89210001280A4600102800005DE -S31540017FE0833CE0137FFFA4869010200A833CE01374 -S31540017FF0808860031280018082102005C22600001D -S315400180009A102001DA26200482102002C226200876 -S315400180109A102003DA26200C1B3FFFBF9A136358A0 -S315400180209A03401ED00340004000048D9210001D6B -S31540018030032EEEEEA12A2002A21063BBE226001017 -S315400180407FFFFE699010001880A220001280016710 -S3154001805001000000C206001080A040110280000508 -S31540018060030048D17FFFA46690102012030048D137 -S31540018070821061671B226AF3C22620209A1361EFA0 -S31540018080DA262024C20E202080A06001028000044E -S31540018090010000007FFFA45A9010201AC20E202131 -S315400180A080A0602302800004010000007FFFA454E9 -S315400180B09010201BC20E202280A060450280000441 -S315400180C0010000007FFFA44E9010201CC20E202309 -S315400180D080A0606702800004010000007FFFA44881 -S315400180E09010201DC20E202480A0608902800004C9 -S315400180F0010000007FFFA4429010201EC20E2025E1 -S3154001810080A060AB02800004010000007FFFA43C18 -S315400181109010201FC20E202680A060CD0280000450 -S31540018120010000007FFFA43690102020C20E2027B8 -S3154001813080A060EF02800004010000007FFFA430B0 -S3154001814090102021C21620208328601083306010B1 -S3154001815080A0612302800004010000007FFFA42863 -S3154001816090102022DA1620229B2B6010030000116A -S315400181709B3360108210616780A340010280000436 -S31540018180010000007FFFA41E90102023DA16202450 -S315400181909B2B6010030000229B336010821061AB61 -S315400181A080A3400102800004010000007FFFA41467 -S315400181B090102024DA1620269B2B601003000033F2 -S315400181C09B336010821061EF80A34001028000055D -S315400181D0821020307FFFA40A901020258210203083 -S315400181E0C22E2020030C08D1DA06202082106167B6 -S315400181F080A3400102800005821020317FFFA40048 -S315400182009010202782102031C22E2021030C0C51C0 -S31540018210DA0620208210616780A3400102800005B2 -S31540018220821020327FFFA3F6901020288210203240 -S31540018230C22E2022210C0C4CDA0620208214226701 -S3154001824080A3400102800005821020337FFFA3EC0A -S315400182509010202982102033C22E20239A142233D3 -S31540018260C206202080A0400D0280000582102034E5 -S315400182707FFFA3E39010202A82102034C22E2024AF -S31540018280030D2AF3DA062024821061EF80A3400110 -S3154001829002800005821020357FFFA3D99010202B44 -S315400182A082102035C22E2025030D0D73DA062024B7 -S315400182B0821061EF80A340010280000582102036C2 -S315400182C07FFFA3CF9010202C82102036C22E20266D -S315400182D0210D0D4DDA062024821422EF80A34001A0 -S315400182E002800005821020377FFFA3C59010202D04 -S315400182F082102037C22E20279A142237C206202404 -S3154001830080A0400D02800005210000107FFFA3BC24 -S315400183109010202E2100001082142041C2362020C8 -S315400183200310104CDA0620208210623380A34001EC -S3154001833002800005821422437FFFA3B19010202FB3 -S3154001834082142243C236202203101050DA0620201E -S315400183508210624380A34001028000052100001182 -S315400183607FFFA3A7901020302100001182142045E1 -S31540018370C23620240311114DDA06202482106237B9 -S3154001838080A3400102800005821422477FFFA39CFF -S315400183909010203182142247C236202603111151F2 -S315400183A0DA0620248210624780A34001028000043D -S315400183B0010000007FFFA392901020327FFFFD2F26 -S315400183C0A4102000C206E16080A480011680001539 -S315400183D01B100144D403616C96100001A0102000CB -S315400183E080A4001D3680000CA404A001832C800AC1 -S315400183F09B286002992CA01082130010C226000D02 -S31540018400A004200180A4001D06BFFFFC9A0360045E -S31540018410A404A00180A4800B26BFFFF2A010200077 -S315400184207FFFE49D9010200003000010808A000128 -S3154001843012BFFFFC01000000A4102000C206E1604B -S3154001844080A4800116800114921000127FFFFD89DD -S315400184509010001815100144C202A14C900A000167 -S31540018460820E000180A20001A404A00112BFFFF404 -S31540018470901020337FFFA36201000000C206E16035 -S3154001848080A4800106BFFFF29210001230800102E3 -S315400184907FFFA35B9010200110BFFE50833CE00C90 -S315400184A07FFFE47D90102000133FFFF09212603F62 -S315400184B0920A0009A61000087FFFE4799010200077 -S315400184C07FFFE3D0A41020001303C0009214C0091B -S315400184D07FFFE4739010200080A480161680000967 -S315400184E092100012901660007FFFFD049410200048 -S315400184F0A404A00180A4801606BFFFFB92100012BF -S315400185007FFFE3C0010000007FFFE463901020007D -S31540018510913A200A900A200380A220010280000499 -S31540018520010000007FFFA3369010200201000000E9 -S3154001853092102000901660007FFFFCE1941020000D -S31540018540010000007FFFE454901020001303C00097 -S31540018550922A00097FFFE45290102000010000009A -S315400185607FFFE3A8010000007FFFE44B901020004D -S31540018570913A200C900A200380A2200102BFFE1EE0 -S31540018580010000007FFFA31E9010200330BFFE1A9A -S31540018590921000127FFFFD4590100018901A200599 -S315400185A080A00008A2647FFFA404A001C206E16086 -S315400185B080A4800106BFFFF89210001280A46000DB -S315400185C012BFFE63173FFFBF30BFFE5E7FFFA30CA6 -S315400185D09010200710BFFE3DA41020007FFFA30886 -S315400185E09010200530BFFE2D7FFFA305901020116E -S315400185F030BFFE997FFFE42890102000033FFFF033 -S315400186008210603F920A00017FFFE425901020000E -S31540018610033FFFBFA01063809210200094102000FA -S315400186207FFFFCE2900680107FFFE41B9010200044 -S315400186300303C000921200017FFFE419901020004D -S3154001864010800006A4102000900680107FFFFCD702 -S3154001865094102005A404A001C206E16080A4800113 -S3154001866006BFFFFA92100012033FFFBFA0106380BE -S315400186709A102001DA2E801003004000D80680109F -S315400186808210600580A30001028000040100000001 -S315400186907FFFA2DB9010200B7FFFE3FF90102000AD -S315400186A0913A2006900A200380A22001028000040C -S315400186B0010000007FFFA2D29010200C7FFFE3F65D -S315400186C0901020001303C000922A00097FFFE3F4B3 -S315400186D09010200092102000900680107FFFFCB37E -S315400186E0941020007FFFE3EC901020002103C0008E -S315400186F0921200107FFFE3EA901020007FFFE3E62D -S3154001870090102000808A001002BFFFFDA6100008CD -S31540018710A4102000C206E16080A480011680000EEC -S31540018720033FFFBF9A102001A2106380A12B401D79 -S3154001873092100012900680117FFFFC8D94043FFF3A -S31540018740A404A001C206E16080A4800106BFFFFA2D -S31540018750921000121303C000922CC0097FFFE3D090 -S31540018760901020002103C0007FFFE3CB9010200032 -S31540018770808A001012BFFFFD1B004000213FFFBF52 -S3154001878082142380D80680019A13600580A3000DC8 -S3154001879002800004010000007FFFA2999010200D85 -S315400187A07FFFE3BD90102000913A2008900A2003F4 -S315400187B080A2200122800005A01423787FFFA29089 -S315400187C09010200FA0142378940680109810201141 -S315400187D09A102055D83A80007FFFE3AF90102000D1 -S315400187E00303C00092120001920A7F3F7FFFE3AC70 -S315400187F090102000A00680107FFFE3CB9010001060 -S3154001880010800006A4102000900420047FFFFC671E -S3154001881094102055A404A001C206E16080A4800101 -S3154001882006BFFFFA92100012113FFFBF9012237844 -S315400188307FFFE3BD9006800880A2201112800004CC -S3154001884080A2605502800004010000007FFFA26CF7 -S31540018850901020107FFFE39090102000833A20066D -S315400188608208600380A0600102800004A61000080F -S315400188707FFFA26390102010133C3FF09212603F9D -S31540018880920CC0097FFFE3869010200010BFFDDCEB -S31540018890821020057FFFE380901020009212200F66 -S315400188A07FFFE37F9010200081C7E00891E8200018 -S315400188B09DE3BF987FFFFC9301000000B0100008C4 -S315400188C0130020409212600F7FFFE3759010200045 -S315400188D00100000081C7E00881E80000033FFFBFB7 -S315400188E0821063589DE380017FFFFBE40100000095 -S315400188F040000238010000007FFFE3679010200826 -S31540018900A21000087FFFE3649010200C7FFFA24B6A -S31540018910A0100008912A20047FFFA2349002200C67 -S31540018920973C6014833C6018953C2014993C201870 -S3154001893082086003960AE00F820060019602E00A0F -S31540018940A33C6010A13C2010980B2003940AA00F71 -S31540018950A728400B98032001A20C6007A00C200712 -S315400189609402A00A9A102001A2046002A0042002E7 -S31540018970B12B000A03200000AB2B4011A52B401060 -S315400189809620401898057FFF822040139A04BFFF26 -S31540018990AE130001A213400B210000307FFFE33EDE -S315400189A090102000808A001012BFFFFD033FFFBFD9 -S315400189B09A07BFF8821063C0A00340012D15555593 -S315400189C090100018921000107FFFE2C69415A15531 -S315400189D080A220001280004B292AAAAA92100010D8 -S315400189E0901000187FFFE2D3941522AA80A220009E -S315400189F01280004E010000007FFFA210010000001E -S31540018A00912A20047FFFA1F99002200A400001EE3D -S31540018A100100000092152200920C400990100018A6 -S31540018A2094100011961000127FFFE2EB981522AACE -S31540018A3080A2200012800051010000009215A10081 -S31540018A4090100018920C40099410001196100012D3 -S31540018A507FFFE2E19815A15580A2200012800044D3 -S31540018A60010000007FFFA1F501000000912A2004CA -S31540018A707FFFA1DE9002200B901000137FFFE2BD25 -S31540018A809215A15580A220001280003501000000F8 -S31540018A90901000137FFFE2B7921522AA80A2200010 -S31540018AA01280002C010000007FFFA1E401000000BC -S31540018AB0912A20047FFFA1CD900220099010001336 -S31540018AC092100017941000157FFFE2B6961522AA60 -S31540018AD080A220001280001B0100000090100013AC -S31540018AE092100017941000157FFFE2AE9615A1551E -S31540018AF080A2200002800025010000003080000E87 -S31540018B007FFFA1BF90102001292AAAAA9210001026 -S31540018B10901000187FFFE287941522AA80A22000B8 -S31540018B2002BFFFB6010000007FFFA1B590102002F1 -S31540018B3030BFFFB27FFFA1B29010200830800013F2 -S31540018B407FFFA1AF9010200710BFFFE690100013E2 -S31540018B507FFFA1AB9010200630BFFFD47FFFA1A8B5 -S31540018B609010200510BFFFCC901000137FFFA1A4E9 -S31540018B709010200430BFFFBC7FFFA1A190102003BD -S31540018B8010BFFFB09215A1007FFFFB3CB010200043 -S31540018B9040000190010000000100000081C7E0088B -S31540018BA081E800009DE3BF987FFFA18B1100412C16 -S31540018BB0DA062004D80620049A0B6003993B20026A -S31540018BC082102007C2262008BA036001B20B27FF94 -S31540018BD0010000009010200AC02600007FFFA183FB -S31540018BE0010000001700020082103FFFC226000B61 -S31540018BF0032AAAAA1B155555D806000BAC1020000E -S31540018C00821062AA9A136155AA0B000180A5801DA4 -S31540018C1016800038A80B000D9612E020A72E60089A -S31540018C2080A4E0000480000CA2102000832DA00245 -S31540018C3082004018190002009A10000BE820400CEF -S31540018C40EA20400DA204601080A4C01114BFFFFCAD -S31540018C5082006040AC05A00180A5801D06BFFFF2E1 -S31540018C6080A4E000AC10200080A5801D1680002164 -S31540018C7003000200B8106020A72E6008AE1000184D -S31540018C8080A4E00004800017A21020000300020027 -S31540018C90A4060001A005C01CC2048000A204601005 -S31540018CA0A404A04080A0401402800004901020013A -S31540018CB07FFFA15301000000C2040000A004204030 -S31540018CC080A0401502800004901020017FFFA14C36 -S31540018CD00100000080A4C01114BFFFF00100000094 -S31540018CE0AC05A00180A5801D06BFFFE6AE05E004E8 -S31540018CF07FFFA13E9010200CAC10200080A5801D66 -S31540018D0016800088031555559E1061551B000800B5 -S31540018D10032AAAAA9A136004901062AAA72E600891 -S31540018D2080A4E0000480000EA2102000832DA01331 -S31540018D3082004018130008009410000F9610000D91 -S31540018D4098100008D4204009D820400BA2046002A4 -S31540018D5080A4C01114BFFFFC82006008AC05A001CD -S31540018D6080A5801D06BFFFF080A4E000AC10200066 -S31540018D7080A5801D1680006B03155555B8106155A9 -S31540018D801B000800032AAAAAB6136004B41062AAFB -S31540018D90A72E600880A4E00004800019A2102000DC -S31540018DA0832DA013A00040182F000800AA10001C14 -S31540018DB0A810001BA410001AC2040017A2046002E6 -S31540018DC080A0401502800004901020037FFFA10C73 -S31540018DD001000000C2040014A004200880A0401233 -S31540018DE002800004901020037FFFA10501000000CE -S31540018DF080A4C01114BFFFF101000000AC05A00121 -S31540018E0080A5801D06BFFFE580A4E000AC102000D0 -S31540018E1080A5801D16800043030008009E10600453 -S31540018E201B155555032AAAAA9A136155901062AA91 -S31540018E30A72E600880A4E0000480000EA210200046 -S31540018E40832DA01382004018130008009410000FD0 -S31540018E509610000D98100008D620400AD8204009E7 -S31540018E60A204600280A4C01114BFFFFC8200600806 -S31540018E70AC05A00180A5801D06BFFFF080A4E000DF -S31540018E80AC10200080A5801D16800026031555557F -S31540018E90B81061551B2AAAAA03000800A72E60082C -S31540018EA0B61362AAB410600480A4E00004800019DD -S31540018EB0A2102000832DA013A00040182F00080007 -S31540018EC0AA10001CA810001BA410001AC20400120C -S31540018ED0A204600280A04015028000049010200484 -S31540018EE07FFFA0C701000000C2040017A0042008AC -S31540018EF080A0401402800004901020047FFFA0C08F -S31540018F000100000080A4C01114BFFFF10100000060 -S31540018F10AC05A00180A5801D06BFFFE580A4E00049 -S31540018F2082102005C22620081B200000DA260000F8 -S31540018F3081C7E00881E8000081C3E0089144400010 -S31540018F4080800000151001409412A18090102000ED -S31540018F5092102246818000000100000001000000BD -S31540018F6001000000D00280009122400881C3E00840 -S31540018F7001000000818000009010200180902001B6 -S31540018F8080D020011280002C0100000080D23FFFDA -S31540018F90168000290100000080DA3FFF934000005F -S31540018FA080A27FFF128000240100000080D23FFF93 -S31540018FB09348000093326014920A600F80A26008C1 -S31540018FC01280001D010000008180000090102001E8 -S31540018FD0945220049452A0049452A00496A2A04014 -S31540018FE012800015010000008180000090102002CF -S31540018FF080A00000328000109052000880A220021A -S315400190001280000D010000008180000090102002B6 -S3154001901080A0000001000000328000079052000845 -S3154001902080A22002128000040100000081C3E008F2 -S315400190309010200181C3E00890100000131555558A -S3154001904092126155A5824000010000000100000016 -S31540019050010000009544800080A2400A128000432E -S3154001906001000000923A4000A58240000100000044 -S3154001907001000000010000009544800080A2400AE2 -S315400190801280003A010000001100003F901223FFB8 -S31540019090A580000081800000010000000100000061 -S315400190A00100000093F23FFF9A10000993F23FFF3F -S315400190B093F23FFF93F23FFF93F23FFF93F23FFF5D -S315400190C093F23FFF93F23FFF99400000974480009F -S315400190D0153FFC009412A00880A2400A128000238A -S315400190E080A2400B1280002180A320071280001F1E -S315400190F0113FFF809012200180A340081280001B7F -S315400191001100003F901223FFA580000081800000DE -S3154001911001000000010000000100000093FA3FFF3A -S3154001912093FA3FFF93FA3FFF93FA3FFF93FA3FFFCC -S3154001913093FA3FFF93FA3FFF93FA3FFF99400000AE -S315400191409744800080A260081280000880A26008CF -S315400191501280000680A32000128000040100000056 -S3154001916081C3E0089010200181C3E00890100000FF -S31540019170818000009010200280A000003280001003 -S315400191809072000880A220021280000D01000000AA -S31540019190818000009010200280A0000001000000A4 -S315400191A0328000079072000880A2200212800004DB -S315400191B00100000081C3E0089010200181C3E0084E -S315400191C090100000C0A0004081C3E00801000000EB -S315400191D0110020409012200FD0A0004081C3E0082A -S315400191E0010000009DE3BF987FFFE12B901020080E -S315400191F0A21000087FFFE1289010200C833C6014E8 -S315400192009B3A2014A13A2018A33C6018A20C600393 -S31540019210A00C20038208600F9A0B600F8200600A3F -S315400192209A03600AA2046001A0042001A32C400114 -S315400192307FFFFFE5A12C000D7FFFE08E901000110E -S315400192407FFFE09A901000107FFFF98C010000002B -S315400192507FFFFFE081E8000001000000981200094D -S31540019260818200009AAB2FFF02800025988800007A -S315400192709923000999230009992300099923000993 -S315400192809923000999230009992300099923000983 -S315400192909923000999230009992300099923000973 -S315400192A09923000999230009992300099923000963 -S315400192B09923000999230009992300099923000953 -S315400192C09923000999230009992300099923000943 -S315400192D09923000999230009992300099923000933 -S315400192E09923000999230009992300099923000923 -S315400192F09923000081C3E0089140000099230009A9 -S315400193009923000999230009992300099923000902 -S3154001931099230009992300099923000999230009F2 -S3154001932099230009992300099923000999230000EB -S315400193309B400000992B200C9B33601481C3E008AD -S315400193409013400C1080000B86102000809240083C -S31540019350168000088610000880924000168000049E -S315400193608092000016800003922000099020000898 -S315400193709A924000128000059610000891D0200272 -S3154001938081C3E0089010000080A2C00D0A800095BC -S31540019390941000000302000080A2C0010A80002848 -S315400193A09810000080A340011A80000D841020010E -S315400193B09B2B600410BFFFFC980320019A83400D4C -S315400193C01A8000078400A001832860049B33600152 -S315400193D09A034001108000078420A00180A3400B1E -S315400193E00ABFFFF7010000000280000201000000F1 -S315400193F084A0A00106800076010000009622C00DDF -S31540019400941020011080000A01000000952AA00155 -S31540019410068000059B3360019622C00D1080000432 -S315400194209402A0019602C00D9422A00184A0A0013D -S3154001943016BFFFF78092C000308000659B2B600409 -S3154001944080A3400B08BFFFFE988320010280006580 -S31540019450982320018092C000952AA0040680002FFF -S315400194609B33600196A2C00D068000179B336001B5 -S3154001947096A2C00D0680000B9B33600196A2C00DDB -S31540019480068000059B33600196A2C00D10800050F6 -S315400194909402A00F9682C00D1080004D9402A00D3B -S315400194A09682C00D068000059B33600196A2C00DD1 -S315400194B0108000479402A00B9682C00D1080004494 -S315400194C09402A0099682C00D0680000B9B33600171 -S315400194D096A2C00D068000059B33600196A2C00D81 -S315400194E01080003B9402A0079682C00D1080003880 -S315400194F09402A0059682C00D068000059B3360014B -S3154001950096A2C00D108000329402A0039682C00D2F -S315400195101080002F9402A0019682C00D068000178C -S315400195209B33600196A2C00D0680000B9B33600100 -S3154001953096A2C00D068000059B33600196A2C00D20 -S31540019540108000239402BFFF9682C00D1080002038 -S315400195509402BFFD9682C00D068000059B336001D3 -S3154001956096A2C00D1080001A9402BFFB9682C00DD0 -S31540019570108000179402BFF99682C00D0680000B39 -S315400195809B33600196A2C00D068000059B336001A6 -S3154001959096A2C00D1080000E9402BFF79682C00DB0 -S315400195A01080000B9402BFF59682C00D068000051F -S315400195B09B33600196A2C00D108000059402BFF353 -S315400195C09682C00D108000029402BFF198A320013B -S315400195D016BFFFA28092C000268000029602C009F3 -S315400195E08090C000268000029620000B81C3E008CF -S315400195F09010000B9210000890102000941020004B -S31540019600961020008213C000400000039E104000C7 -S31540019610010000009DE3BF9823100143400005F679 -S31540019620901460F40310006DE0006188D004214875 -S3154001963080A220002280002D9004214CD6022004D5 -S3154001964080A2E01F1480001D0100000080A62000BA -S315400196501280000B94022088832AE0029A02E001DC -S3154001966082004008DA222004F2206008400005FA10 -S31540019670901460F410800022B01020008210200166 -S315400196809328400B992AE002DA02A1009A13400975 -S315400196908203000AF6206080F422800C80A6200214 -S315400196A012BFFFEEDA22A100C202A10482104009D4 -S315400196B010BFFFEAC222A10440000013901021907E -S315400196C080A220000280000B96102000C20421488F -S315400196D0C2220000D0242148C0222004C022218871 -S315400196E010BFFFDBC022218C10BFFFD5D0242148FB -S315400196F0400005D9901460F4B0103FFF81C7E008DF -S3154001970081E800009210000803100141D000637007 -S315400197108213C0004000000A9E1040000100000074 -S315400197209210000803100141D00063708213C000FB -S31540019730400002199E104000010000009DE3BF98C1 -S315400197408206600B80A06016A610001818800003E0 -S31540019750A0087FF8A010201080A400199A4020008C -S315400197608334201F8090400D128001B0B01020003C -S31540019770400001B09010001380A421F71880001713 -S315400197809934200939100141821723809804000138 -S31540019790F003200C80A6000C0280004E9F3420036B -S315400197A0C206200494087FFC9A06000AC20360049C -S315400197B082106001D006200CD2062008D222200851 -S315400197C0C2236004D022600C400001A09010001317 -S315400197D010800196B006200880A320001280002840 -S315400197E09F342003391001419B2BE00382172380CC -S315400197F09A0340011080000AF003600C94087FFC34 -S315400198009622801080A2E00F1480010980A2E00018 -S3154001981036BFFFE79A06000AF006200C80A6000D27 -S3154001982032BFFFF7C20620049E03E0012510014125 -S315400198309814A388F003200880A6000C0280005DDE -S31540019840833BE01FC206200494087FFC96228010C9 -S3154001985080A2E00F1480009F80A2E000D823200C54 -S3154001986006800023D82320089A06000AC203600412 -S315400198708210600110BFFFD5C223600483342006E5 -S3154001988080A3200408BFFFD89E00603880A320141F -S3154001989008BFFFD59E03205B8334200C80A3205450 -S315400198A008BFFFD19E00606E8334200F80A32154F0 -S315400198B008BFFFCD9E0060778334201280A32554D4 -S315400198C008BFFFC99E00607C10BFFFC79E10207E67 -S315400198D098062008F003200C80A6000C32BFFFB288 -S315400198E0C206200410BFFFD29E03E00280A2A1FF60 -S315400198F0088000BE9B32A0039732A00980A2E000F7 -S3154001990022800017961723808332A00680A2E004A6 -S31540019910088000129A00603880A2E0140880000F87 -S315400199209A02E05B8332A00C80A2E0540880000BCF -S315400199309A00606E8332A00F80A2E154088000072E -S315400199409A0060778332A01280A2E5540880000312 -S315400199509A00607C9A10207E96172380832B6003A1 -S315400199609000400BD202200880A240082280010FBD -S3154001997099336002C202600482087FFC80A0400ADB -S3154001998028800007D002600CD202600880A24008FD -S3154001999032BFFFFAC2026004D002600CD026200C0E -S315400199A0D2262008F022600CF0222008833BE01FDB -S315400199B08330601E8203C001391001418338600241 -S315400199C0981723809A102001932B4001D803200435 -S315400199D080A2400C1880004BAE172380808B000973 -S315400199E01280000D03100141820BFFFC92024009D7 -S315400199F0808B0009128000079E0060048210000CD3 -S31540019A00920240098088400902BFFFFE9E03E0049E -S31540019A1003100141A2106380A8100011832BE003BB -S31540019A20980040119010000F9A10000C1080000A07 -S31540019A30F003600C94087FFC9622801080A2E00F10 -S31540019A401480007D80A2E0003680008B9A06000AD1 -S31540019A50F006200C80A6000D32BFFFF7C206200497 -S31540019A609E03E001808BE00312BFFFF19A03600879 -S31540019A70808A200398033FF8028000D490023FFF7A -S31540019A80C203200880A0400C02BFFFFB808A20034E -S31540019A9092024009C205200480A2400118800018A4 -S31540019AA03910014180A26000128000068088400979 -S31540019AB010800014AE1723809E03E004808840097D -S31540019AC022BFFFFE9202400910BFFFD6832BE0035F -S31540019AD08214200194060010C2262004D423200CAF -S31540019AE0D42320088212E001D622800BD822A00876 -S31540019AF0C222A00410BFFF35D822A00CAE17238086 -S31540019B00F005E008C2062004AA087FFC80A54010A3 -S31540019B109A4020009625401080A2E00F1480004212 -S31540019B2082102001809340010280002703100143E7 -S31540019B30DA0061982D1001419A04000DC205A378FF -S31540019B40A810001580A07FFFA203601002800004C8 -S31540019B50A406001582046FFFA2087000901000133E -S31540019B60400000C09210001180A23FFF0280000811 -S31540019B70B210000880A200121A8000463B10014331 -S31540019B8080A6001722800044C2076168821723809D -S31540019B90D8006008DA0320049A0B7FFC80A34010AA -S31540019BA0984020009623401080A2E00F04800003D5 -S31540019BB082102001821020008093000112800098BB -S31540019BC00100000098172380F00320088214200129 -S31540019BD0C22620049A0600108212E001C2236004C4 -S31540019BE010BFFEFADA23200898033FF8832B60035F -S31540019BF09000400C9B336002821020018328400D67 -S31540019C00D2022008DA0320049A134001D026200C00 -S31540019C10D2262008F022600CDA23200410BFFF640C -S31540019C20F022200810BFFFC08210200010BFFEFFA7 -S31540019C309E03FFFFD006200CD20620089A1420016D -S31540019C40940600108214A388D2222008D022600CE8 -S31540019C50DA262004D420600CD42060089A12E00150 -S31540019C60D622800BC222A008DA22A00410BFFED75A -S31540019C70C222A00CC203600482106001D006200CEF -S31540019C80D2062008C223600410BFFECFD22220088C -S31540019C90C20761688200401180A640120280004FCF -S31540019CA0C2276168C205A37880A07FFF0280004574 -S31540019CB0C20761689A2640128200400DC227616838 -S31540019CC09A8E600702800005A410200082102008A9 -S31540019CD0A420400DB20640129A0640119A0B6FFF1E -S31540019CE0030000048220400DA4048001901000135B -S31540019CF04000005C9210001280A23FFF2280003F8C -S31540019D00A41020008222001990004012821723805D -S31540019D10DA0761689A03401280A60001F2206008C2 -S31540019D20DA276168028000378212200180A5600F20 -S31540019D3038800005C22660048210200110BFFF94BE -S31540019D40C2266004C20620049A057FF4A80B7FF858 -S31540019D508208600182104014C22620049A0600142B -S31540019D6082102005C223600880A5200F1880002795 -S31540019D70C223600419100143DA076168C2032194C2 -S31540019D8080A3400138800002DA232194191001434F -S31540019D90C203219080A3400138BFFF7DDA23219081 -S31540019DA010BFFF7C821723809A102001C202E00473 -S31540019DB09B2B400C8210400D10BFFEF9C222E004DD -S31540019DC010BFFFC0F225A378C2046004822840096F -S31540019DD010BFFF30C2246004808E6FFF12BFFFB3F5 -S31540019DE0C205A37890044014DA05E00882122001E6 -S31540019DF010BFFFE1C223600410BFFFC390100019DA -S31540019E0010BFFFDDC22660049206200840000062B2 -S31540019E109010001310BFFFD9191001434000000BE9 -S31540019E2090100013B010200081C7E00881E80000BF -S31540019E3011100142901223888213C000400003EEA4 -S31540019E409E1040000100000011100142901223882B -S31540019E508213C000400004009E1040000100000033 -S31540019E609DE3BF9821100144C02421704000020D9A -S31540019E709010001980A23FFF12800006C204217093 -S31540019E8080A060000280000301000000C22600009D -S31540019E9081C7E00891E800089DE3BF987FFFFFE591 -S31540019EA09010001803100141A2106380DA04600883 -S31540019EB0C2036004A0087FFCB2240019B2066FEF0A -S31540019EC0B20E7000B20670009210200080A66FFF9D -S31540019ED00480000A901000187FFFFFE20100000095 -S31540019EE0C20460088200401080A0400892200019F8 -S31540019EF002800005901000187FFFFFD4B0102000AB -S31540019F00308000237FFFFFD790100018822400196C -S31540019F1080A23FFF82106001921020001910014378 -S31540019F200280000B90100018DA046008C223600416 -S31540019F30C203216882204019901000187FFFFFC399 -S31540019F40C223216810800012B01020017FFFFFC597 -S31540019F500100000098100008D6046008A023000BF9 -S31540019F609010001880A4200F04BFFFE4B214200112 -S31540019F7003100141DA0063789A23000D031001436F -S31540019F80DA20616810BFFFDDF222E00481C7E008F4 -S31540019F9081E800009DE3BF9880A660000280009D95 -S31540019FA0A41000187FFFFFA390100018A0067FF8A9 -S31540019FB0D6042004900AFFFE1910014194040008BA -S31540019FC0A2132380DA02A004C204600880A0400ADA -S31540019FD0028000449E0B7FFC808AE001DE22A004C1 -S31540019FE01280000CB0102000F2067FF8A024001960 -S31540019FF082046008DA04200880A340010280007DC3 -S3154001A00090020019D204200CDA226008D223600C97 -S3154001A0108202800FDA006004808B60011280000AA0 -S3154001A0208212200180A62000028000229002000FA9 -S3154001A030DA02A008D202A00CDA226008D223600C10 -S3154001A04082122001C224200480A62000028000053D -S3154001A050D0240008B01000127FFFFF7C81E8000089 -S3154001A06080A221FF18800036973220099B322003B7 -S3154001A07098132380832B60039200400C9B3360022C -S3154001A080821020018328400DD6026008DA0320049D -S3154001A0909A134001D224200CD6242008E022E00C59 -S3154001A0A0DA232004E022600810BFFFECB010001252 -S3154001A0B003100141DA02A0088210638880A340019F -S3154001A0C032BFFFDED202A00CE023600CE023600821 -S3154001A0D0DA242008DA24200C10BFFFDAB010200160 -S3154001A0E0808AE001128000099002000FF2067FF893 -S3154001A0F0A0240019D204200CD6042008D6226008D8 -S3154001A10090020019D222E00C03100141DA00637C6F -S3154001A11098122001E024600880A2000D0ABFFFCFFB -S3154001A120D824200403100143D20061987FFFFF5BCE -S3154001A130901000127FFFFF4581E8000080A2E000F9 -S3154001A140028000169B3220038332200680A2E0045F -S3154001A150088000129A00603880A2E0140880000F3F -S3154001A1609A02E05B8332200C80A2E0540880000B07 -S3154001A1709A00606E8332200F80A2E1540880000766 -S3154001A1809A0060778332201280A2E554088000034A -S3154001A1909A00607C9A10207E94132380832B60035F -S3154001A1A09200400AD602600880A2C00902800013CC -S3154001A1B099336002C202E00482087FFC80A0400815 -S3154001A1C028800007D202E00CD602E00880A2C0092E -S3154001A1D032BFFFFAC202E004D202E00CD224200CC4 -S3154001A1E0D6242008E022E00C10BFFFB0E022600830 -S3154001A1F010BFFF88B01020019A102001C202A004AE -S3154001A2009B2B400C8210400D10BFFFF5C222A004CB -S3154001A21081C7E00881E800009DE3BF98D20640006F -S3154001A22080A2600012800004901000187FFFFF5A40 -S3154001A23081E800007FFFFFF9010000007FFFFF5624 -S3154001A24081E80000010000009DE3BF980310014131 -S3154001A250DA00637080A6000D028000410100000013 -S3154001A260D206204C80A260001280001CA010200063 -S3154001A270D206214880A260002280000FD2062054D7 -S3154001A280A006214C80A240102280000BD206205409 -S3154001A29010800005B2100009F20640007FFFFF3E24 -S3154001A2A09010001880A4001912BFFFFC92100019EB -S3154001A2B0D206205480A260001280002501000000D1 -S3154001A2C0C206203880A060000280002501000000FF -S3154001A2D010800017C206203C832C2002F202400166 -S3154001A2E080A660002280000BA00420019210001974 -S3154001A2F0F20640007FFFFF289010001880A66000FC -S3154001A30012BFFFFC92100019D206204CA004200176 -S3154001A31080A4200E04BFFFF2832C20027FFFFF1E84 -S3154001A3209010001810BFFFD4D20621489FC04000AC -S3154001A33090100018F20622E080A660000280000814 -S3154001A340010000007FFFFFB581E800007FFFFF129B -S3154001A3509010001810BFFFDCC206203881C7E00804 -S3154001A36081E800009DE3BF9880A620000280001D81 -S3154001A37003100141E406214880A4A0002280001375 -S3154001A380C206203CE204A004A2847FFF0C80000A9E -S3154001A390832C600282004012A0006008C2040000C3 -S3154001A3A09FC04000A0043FFCA2847FFF3CBFFFFD4D -S3154001A3B0C2040000E404800080A4A00032BFFFF381 -S3154001A3C0E204A004C206203C80A06000028000078F -S3154001A3D0010000009FC0400090100018308000032B -S3154001A3E010BFFFE5F000637081C7E00881E8000017 -S3154001A3F01080000B861020008092400816800008CD -S3154001A400861A40088092400016800004809200001F -S3154001A4101680000392200009902000089A9240007D -S3154001A420128000059610000891D0200281C3E008F1 -S3154001A4309010000080A2C00D0A8000959410000083 -S3154001A4400302000080A2C0010A8000289810000083 -S3154001A45080A340011A80000D841020019B2B6004CB -S3154001A46010BFFFFC980320019A83400D1A80000714 -S3154001A4708400A001832860049B3360019A03400154 -S3154001A480108000078420A00180A3400B0ABFFFF77C -S3154001A49001000000028000020100000084A0A0012A -S3154001A4A006800076010000009622C00D941020011E -S3154001A4B01080000A01000000952AA00106800005CF -S3154001A4C09B3360019622C00D108000049402A001C6 -S3154001A4D09602C00D9422A00184A0A00116BFFFF7E9 -S3154001A4E08092C000308000659B2B600480A3400BA6 -S3154001A4F008BFFFFE98832001028000659823200152 -S3154001A5008092C000952AA0040680002F9B336001EB -S3154001A51096A2C00D068000179B33600196A2C00D1E -S3154001A5200680000B9B33600196A2C00D0680000594 -S3154001A5309B33600196A2C00D108000509402A00F7B -S3154001A5409682C00D1080004D9402A00D9682C00DDA -S3154001A550068000059B33600196A2C00D108000471E -S3154001A5609402A00B9682C00D108000449402A0096B -S3154001A5709682C00D0680000B9B33600196A2C00DEA -S3154001A580068000059B33600196A2C00D1080003BFA -S3154001A5909402A0079682C00D108000389402A0054F -S3154001A5A09682C00D068000059B33600196A2C00DC0 -S3154001A5B0108000329402A0039682C00D1080002FB5 -S3154001A5C09402A0019682C00D068000179B3360015C -S3154001A5D096A2C00D0680000B9B33600196A2C00D6A -S3154001A5E0068000059B33600196A2C00D10800023B2 -S3154001A5F09402BFFF9682C00D108000209402BFFDD9 -S3154001A6009682C00D068000059B33600196A2C00D5F -S3154001A6101080001A9402BFFB9682C00D108000176D -S3154001A6209402BFF99682C00D0680000B9B336001F0 -S3154001A63096A2C00D068000059B33600196A2C00D0F -S3154001A6401080000E9402BFF79682C00D1080000B59 -S3154001A6509402BFF59682C00D068000059B336001CA -S3154001A66096A2C00D108000059402BFF39682C00DDC -S3154001A670108000029402BFF198A3200116BFFFA2E9 -S3154001A6808092C000268000029422A0018090C000E2 -S3154001A690268000029420000A81C3E0089010000A37 -S3154001A6A019100144DA03217480A360001280000668 -S3154001A6B082034008031001449A106188DA23217409 -S3154001A6C082034008C223217481C3E0089010000D23 -S3154001A6D0A7500000AE1000018334E0012910014368 -S3154001A6E0E8052038A92CC0148215000181E000003C -S3154001A6F081904000010000000100000001000000BF -S3154001A700E03BA000E43BA008E83BA010EC3BA018CE -S3154001A710F03BA020F43BA028F83BA030FC3BA038FE -S3154001A72081E800008210001781C4400081CC80007E -S3154001A730010000000100000001000000A7500000D8 -S3154001A740A92CE0012B100143EA056038AB34C01552 -S3154001A750AA15401481954000010000000100000047 -S3154001A7600100000081E8000081E80000E01BA00034 -S3154001A770E41BA008E81BA010EC1BA018F01BA020AE -S3154001A780F41BA028F81BA030FC1BA03881E0000078 -S3154001A79081E0000081C4400081CC8000A7500000C8 -S3154001A7A02910006CADC5210C0100000027100143A2 -S3154001A7B0A614E018E024C000818C2020010000008E -S3154001A7C001000000010000009DE3BFA09DE3BFA082 -S3154001A7D09DE3BFA09DE3BFA09DE3BFA09DE3BFA0B6 -S3154001A7E09DE3BFA081E8000081E8000081E8000008 -S3154001A7F081E8000081E8000081E8000081E800006E -S3154001A80027100143A614E018C024C000E203A06843 -S3154001A810A4046004E223A064E423A06810800262D9 -S3154001A820AC10000029100142A81523FCC2252000C6 -S3154001A830C8252004E0252010E2252014E42520180F -S3154001A840E825201C81E800008348000082106F0043 -S3154001A8508188602001000000010000000100000025 -S3154001A86009100143C801203881E0000088212001F8 -S3154001A87080A920FF028000030100000001000000C2 -S3154001A88080A1000012BFFFF9010000000910014339 -S3154001A890C801203881E8000080A920FF028000031A -S3154001A8A001000000010000008821200180A1000074 -S3154001A8B012BFFFF90100000081E0000029100142AA -S3154001A8C0A81523FCC8052004C2052000E005201078 -S3154001A8D0E2052014E4052018C025201C818C2000A7 -S3154001A8E001000000010000000100000081C4800059 -S3154001A8F081CCA004A0142F00818C0000010000002F -S3154001A900010000000100000081C4800081CCA00448 -S3154001A91080A6600212800005A8142F00818D0000D8 -S3154001A920B01420203080001F80A6600312800006EC -S3154001A930A80E2F00AA2C2F00A8154014818D0000C7 -S3154001A9403080001880A6600412800008A9480000E3 -S3154001A950A8152040818D0000010000000100000083 -S3154001A960010000003080000F80A6600512800008BB -S3154001A970A9480000A82D2040818D0000010000005B -S3154001A98001000000010000003080000680A660063C -S3154001A990128000030100000030BFFFA391D02000C8 -S3154001A9A081C4800081CCA0049210200381C3E008B9 -S3154001A9B091D020029210200281C3E00891D020025A -S3154001A9C09210200681C3E00891D0200281C3E0089D -S3154001A9D00100000081C3E0080100000081C3E008D6 -S3154001A9E001000000AE25A010A75000002D10006AFE -S3154001A9F0AC15A1F82910006C81C522B401000000F4 -S3154001AA001110014390122024D202000092026001EB -S3154001AA10D2220000932DE008902C2F0092120009BB -S3154001AA20111001439012201CD002000080A00008A2 -S3154001AA302280000292126F00818A6020010000008C -S3154001AA400100000001000000901000174000003195 -S3154001AA509203A06092142F00818A602001000000B9 -S3154001AA600100000001000000111001439012202452 -S3154001AA70D202000092226001D22200001080022DF3 -S3154001AA80AC1000001B1001449A13602C912A20023D -S3154001AA90C2034008C222600C81C3E008D2234008A9 -S3154001AAA09DE3BF98031001448210602C992E6002E9 -S3154001AAB01B100143972E6004D800400C9A13622C58 -S3154001AAC0901000199202C00D80A6601F1480000FDD -S3154001AAD0821020001080000680A320002280000AF8 -S3154001AAE0C2024000D803200C80A3200012BFFFFC05 -S3154001AAF080A300097FFFFFE4F0224000108000039D -S3154001AB0082102000F022400081C7E00891E8000150 -S3154001AB109DE3BF981B10014382136028D80060044F -S3154001AB2080A3001802800044C203602880A620004A -S3154001AB3022800002B010000C03100144A32E200213 -S3154001AB408210602CE000401180A420000280003D6C -S3154001AB500310014310800012A41061A8D2042008FA -S3154001AB60941000199FC30000901000180310014370 -S3154001AB70C20061A080A060001280002B010000008D -S3154001AB80C204801182007FFFC2248011E004200CA0 -S3154001AB9080A420000280002B01000000D8040000A0 -S3154001ABA080A3200022BFFFFBE004200C03100143D9 -S3154001ABB0DA00622880A36000128000089610001215 -S3154001ABC003100143821061A8DA00401180A360009E -S3154001ABD032BFFFF0E004200CDA02C011031001433A -S3154001ABE09A036001C20061A480A0600002BFFFDC3D -S3154001ABF0DA22C0119FC0400001000000D8040000C5 -S3154001AC00D2042008941000199FC300009010001828 -S3154001AC1003100143C20061A080A0600022BFFFDA99 -S3154001AC20C20480119FC040000100000010BFFFD642 -S3154001AC30C2048011DA0060C010BFFFBDB00B601FB7 -S3154001AC4081C7E00881E800008C10000FA74800008A -S3154001AC508B34E0188A09600F80A160030280000CE2 -S3154001AC600B1001438A1160300920000088112070C1 -S3154001AC70C82140000B1001438A1160500920000091 -S3154001AC8088112040C82140001080003990102001D1 -S3154001AC9092102006400001DD0100000080A000085E -S3154001ACA00280003301000000C2022010113FFC0067 -S3154001ACB082084008110003FC8410400890100002ED -S3154001ACC0921020019410200C400001E60100000082 -S3154001ACD080A000080280002601000000400001F625 -S3154001ACE0921000010B1001438A116030D2214000BD -S3154001ACF0901000029210200194102011400001D9B9 -S3154001AD000100000080A00008028000190100000037 -S3154001AD10400001E992100001920260100B100143BC -S3154001AD208A116050D22140009010000292102001F9 -S3154001AD309410200D400001CB0100000080A00008C6 -S3154001AD400280000B01000000400001DB921000016F -S3154001AD500B1001438A116028D2214000D4026010B1 -S3154001AD609532A010940AA00FD42160049E100006CB -S3154001AD7081C3E008010000000310014382106048CE -S3154001AD808210200191D0200081C3E008010000001B -S3154001AD909DE3BF9803100144C20060C8901000189B -S3154001ADA09210001980A0600002800005B0102000BA -S3154001ADB09FC0400001000000B010000881C7E008B4 -S3154001ADC081E800009DE3BF9803100144C20060C4BE -S3154001ADD09010001880A0600002800005B01020008D -S3154001ADE09FC0400001000000B010000881C7E00884 -S3154001ADF081E800009DE3BF9803100144C20060BC96 -S3154001AE009010001880A0600002800005B01020005C -S3154001AE109FC0400001000000B010000881C7E00853 -S3154001AE2081E800009DE3BF9803100144C20060C061 -S3154001AE309010001880A0600002800005B01020002C -S3154001AE409FC0400001000000B010000881C7E00823 -S3154001AE5081E800009DE3BF9803100144C20060B839 -S3154001AE609010001880A0600002800005B0102000FC -S3154001AE709FC0400001000000B010000881C7E008F3 -S3154001AE8081E800009DE3BF9803100144C20060B40D -S3154001AE909010001880A0600002800005B0102000CC -S3154001AEA09FC0400001000000B010000881C7E008C3 -S3154001AEB081E800009DE3BF9803100144C20060B0E1 -S3154001AEC09010001880A0600002800005B01020009C -S3154001AED09FC0400001000000B010000881C7E00893 -S3154001AEE081E800009DE3BF9803100144C20060ACB5 -S3154001AEF0901000189210001980A060000280000591 -S3154001AF00B01020009FC0400001000000B0100008B2 -S3154001AF1081C7E00881E80000010000000310006BD2 -S3154001AF20821063E89FC0400001000000031000004A -S3154001AF3082106000819840000310006C8210606CA2 -S3154001AF409FC04000010000000310006B821063D8CF -S3154001AF509FC04000010000008B4800008B31601803 -S3154001AF608A09600380A16003128000070100000086 -S3154001AF708B4440008B31601C80A14000128000064A -S3154001AF80010000007FFFFF31010000007FFF98773D -S3154001AF90010000009C23A0407FFF981A0100000099 -S3154001AFA08210200191D020000100000029000004F8 -S3154001AFB0A68C001432800003A02C001491D02000EE -S3154001AFC0818C00000100000001000000010000002A -S3154001AFD081C4800081CCA00481C3E0080100000047 -S3154001AFE081C1E00801000000A74800008B34E01849 -S3154001AFF08A09600380A160031280000801000000F5 -S3154001B00021100143A0142040A2102003E224000095 -S3154001B0108B4440001080000821100143A0142040B9 -S3154001B020A2102002E224000021200000E604202490 -S3154001B0308B34E0148A09601F27100143A614E038B7 -S3154001B040CA24C0008A01600127100143A614E034D6 -S3154001B050CA24C00027100143A614E03C8A2160029D -S3154001B060CA24C00081C3E0080100000081C3E00892 -S3154001B07001000000834800008330601882086003A5 -S3154001B08080A0600312800006010000008344400056 -S3154001B0900500000882284002A38040008810000075 -S3154001B0A00910006B81C1231C010000009DE3BF987C -S3154001B0B00310006D1B10006DA01061709A13617032 -S3154001B0C080A4000D1A800010A210000D1080000609 -S3154001B0D0C204000080A400111A80000B0100000088 -S3154001B0E0C204000080A0600002BFFFFBA004200450 -S3154001B0F09FC040000100000080A400112ABFFFFA52 -S3154001B100C204000081C7E00881E80000AA27A0B078 -S3154001B110E0256060E2256064E4256068C2256074CC -S3154001B120C43D6078C83D6080CC3D60888540000064 -S3154001B130C425606CF03D6090F43D6098F83D60A098 -S3154001B140FC3D60A8A8102001A92D0010808D001398 -S3154001B15002800013010000008534E001071001431D -S3154001B160C600E038A72CC0038414C0028408A0FF9F -S3154001B17081E000008190A000E03BA000E43BA008F4 -S3154001B180E83BA010EC3BA018F03BA020F43BA028E4 -S3154001B190F83BA030FC3BA03881E8000081C5A008FF -S3154001B1A09C100015051001438410A19CC400800029 -S3154001B1B080A0800002800004010000009FC0800042 -S3154001B1C09203A060818C20008210200283284010C7 -S3154001B1D005100143C400A03485304002821040026C -S3154001B1E08550000080888001028000208328A001CC -S3154001B1F007100143C600E0388530800382104002C3 -S3154001B200820860FF81906000C203A06C818060006B -S3154001B210F01BA090F41BA098F81BA0A0FC1BA0A8B3 -S3154001B220C203A074C41BA078C81BA080CC1BA088F5 -S3154001B230E003A060E203A064E403A06881E80000A3 -S3154001B240E01BA000E41BA008E81BA010EC1BA01803 -S3154001B250F01BA020F41BA028F81BA030FC1BA03833 -S3154001B2601080000F81E00000C203A06C8180600065 -S3154001B270F01BA090F41BA098F81BA0A0FC1BA0A853 -S3154001B280C203A074C41BA078C81BA080CC1BA08895 -S3154001B290E003A060E203A064E403A068818C20007F -S3154001B2A001000000010000000100000081C44000CF -S3154001B2B081CC8000AA27A0B0C2256074C43D6078C5 -S3154001B2C0C83D6080CC3D608885400000C425606CE7 -S3154001B2D0A8102001A92D0010808D001302800013B3 -S3154001B2E0010000008534E00107100143C600E03843 -S3154001B2F0A72CC0038414C0028408A0FF81E000008B -S3154001B3008190A000E03BA000E43BA008E83BA010F0 -S3154001B310EC3BA018F03BA020F43BA028F83BA03022 -S3154001B320FC3BA03881E8000081C5A0089C100015AF -S3154001B330051001438410A19CC400800080A08000B8 -S3154001B34002800004010000009FC080009203A060BB -S3154001B350818C200082102002832840100510014371 -S3154001B360C400A0348530400282104002855000005E -S3154001B37080888001028000198328A00107100143BB -S3154001B380C600E0388530800382104002820860FFA3 -S3154001B39081906000C203A06C81806000C203A074EA -S3154001B3A0C41BA078C81BA080CC1BA08881E80000E4 -S3154001B3B0E01BA000E41BA008E81BA010EC1BA01892 -S3154001B3C0F01BA020F41BA028F81BA030FC1BA038C2 -S3154001B3D01080000881E00000C203A06C81806000FB -S3154001B3E0C203A074C41BA078C81BA080CC1BA08834 -S3154001B3F0818C2000010000000100000001000000D6 -S3154001B40081C4400081CC8000821000089A10380027 -S3154001B41096102000912AE00598034008D40340087D -S3154001B4209132A01880A20001328000089602E00104 -S3154001B4309132A00C900A2FFF80A2000902800007DA -S3154001B4409410000C9602E00180A2E00728BFFFF3AA -S3154001B450912AE0059410200081C3E0089010000A6B -S3154001B4608210000898102000912B20039A00400872 -S3154001B470D60040089132E01880A2000932800008C7 -S3154001B480980320019132E00C900A2FFF80A2000A16 -S3154001B490028000079610000D9803200180A3200F1B -S3154001B4A028BFFFF3912B20039610200081C3E008AB -S3154001B4B09010000BD4022004173FFC00920A400B67 -S3154001B4C0900A800B9132200C921240081100003FE5 -S3154001B4D0901223F0940A8008952AA0049412800BB6 -S3154001B4E0920A400A81C3E008901000099DE3BF9883 -S3154001B4F00310006D82106158DA007FFC80A37FFF44 -S3154001B50002800009A0007FFC8210000D9FC0400010 -S3154001B510A0043FFCC204000080A07FFF12BFFFFCD5 -S3154001B5200100000081C7E00881E800009DE3BF9863 -S3154001B53081C7E00881E8000000000000000000002B -S3154001B54000000000000000000000000000000000B4 -S3154001B55000000002FFFFFFFF0000000000000000A6 -S3154001B56000000002FFFFFFFF000000000000000096 -S3154001B57040080000000000003CD203AF9EE756168B -S3154001B5803E7AD7F29ABCAF4840050220000000003F -S3154001B590430000009DE3BFA07FFF96D70100000056 -S3154001B5A07FFFFFD30100000081C7E00881E800006A -S3154001B5B09DE3BFA07FFF96B20100000081C7E0086E -S3154001B5C081E80000000000000000000000000000CB -S315400200000000000100000000000000004001B56849 -S315400200102000000000000000000000000000000078 -S315400200200000000000000000000000000000000088 -S315400200300000000000000000000000000000000078 -S315400200400000000000000000000000000000000068 -S315400200500000000000000000000000010000000057 -S315400200600000000000000000000000000000000048 -S315400200700000000000000000000000000000000038 -S315400200800000000000000000000000000000000028 -S315400200900000000000000000000000000000000117 -S315400200A00000000000000000000000000000000008 -S315400200B000000000000000000000000000000000F8 -S315400200C000000000000000000000000000000000E8 -S315400200D000000000000000000000000000000000D8 -S315400200E00000000100000000000000410000000086 -S315400200F0343074693934612B3079676979753035B2 -S315400201007968617035796934682B612B69697978C8 -S315400201106869346B35396A30713930356A6B6F7953 -S3154002012070686F70746A72686961346979302B34A9 -S315400201300000000000000000000000010000000076 -S3154002014040000000000000004008000000000000DF -S31540020150401800000000000080000000000000007F -S315400201600000000000000000BFF000000000000098 -S31540020170BF800000000000000000000000000000F8 -S315400201800000000000000000000000000000000027 -S315400201903FF00000000000004008000000000000A0 -S315400201A03FF0000040000000000000000000000098 -S315400201B03F800000404000000000000000000000B8 -S315400201C000000000000000000000000000000000E7 -S315400201D0000000000000000048000001000000008E -S315400201E0480000000000000046C000000000000079 -S315400201F03FF0000000000000400000000000000048 -S3154002020040080000000000003F800000000000009F -S31540020210529000000000000052900FF807F60DEBD6 -S3154002022052901FE03F61BAD052902FB8D4E30F48A4 -S3154002023052903F81F636B80C52904F3BD03C0A64FE -S3154002024052905EE68EFAD48B52906E825DA8FC2B5B -S3154002025052907E0F66AFED0752908D8DD3B1D9AADB -S3154002026052909CFDCD8ED0095290AC5F7C69A3C85A -S315400202705290BBB307ACAFDB5290CAF8960E710DE3 -S315400202805290DA304D95FB065290E95A539F492CCB -S315400202905290F876CCDF6CD952910785DD689A295F -S315400202A052911687A8AE14A35291257C5187FD0917 -S315400202B052913463FA37014E5291433EC467EFFB83 -S315400202C05291520CD1372FEB529160CE41341D746C -S315400202D052916F8334644DF952917E2BCA46BAB914 -S315400202E052918CC821D6D3E352919B58598F7C9F09 -S315400202F05291A9DC8F6DF1045291B854E0F496A064 -S315400203005291C6C16B2DB8705291D5224AAE2EE19A -S315400203105291E3779B97F4A85291F1C1799CA8FF39 -S31540020320529200000000000052920E33499A21A9CF -S3154002033052921C5B70D9F82452922A788FC76DE587 -S315400203405292388AC0059C28529246921AD4EA4959 -S315400203505292548EB9151E8552926280B347609668 -S315400203605292706821902E9A52927E451BB944C38E -S3154002037052928C17B9337834529299E01118857596 -S315400203805292A79E3A2CD2E65292B5524AE1278E13 -S315400203905292C2FC595456A75292D09C7B54E03E8C -S315400203A05292DE32C66287415292EBBF4FAFDD4B6D -S315400203B05292F9422C23C47E529306BB705AE7C32B -S315400203C05293142B30A929AB52932191811B0A4196 -S315400203D052932EEE7577041652933C42213EE0C963 -S315400203E05293498C97B10540529356CDEBC9B5E22B -S315400203F0529364063044530652937135779C8DCBA3 -S3154002040052937E5BD40F95A152938B79579D3EAB07 -S315400204105293988E1409212E5293A59A1ADBB257FB -S315400204205293B29D7D6356625293BF984CB56C7798 -S315400204305293CC8A99AF54535293D97474F76DF24E -S315400204405293E655EEFE13675293F32F17FE8D0431 -S31540020450529400000000000052940CC8B6D657C20F -S31540020460529419894C2329F052942641CF569572BB -S31540020470529432F24FB01C7A52943F9ADC3F79CE74 -S3154002048052944C3B83E57153529458D455549C1A1A -S31540020490529465655F122FF6529471EEAF76C2C6DC -S315400204A052947E7054AF098952948AEA5CBC935F37 -S315400204B05294975CD57680885294A3C7CC8A358A63 -S315400204C05294B02B4F7C0A885294BC876BA7F6ECA9 -S315400204D05294C8DC2E4239805294D529A457FCFC4A -S315400204E05294E16FDACFF9375294EDAEDE6B10FEDD -S315400204F05294F9E6BBC4ECB3529506177F5491BBAE -S3154002050052951241356CF6E052951E63EA3D95B01E -S3154002051052952A7FA9D2F8EA529536948017481006 -S31540020520529542A278D2D03652954EA99FAC8A0FA6 -S3154002053052955AAA002A9D5A529566A3A5B2E1B18E -S31540020540529572969B8B5CD852957E82ECDABE8D22 -S3154002055052958A68A4A8D9F352959647CDDF1CA531 -S315400205605295A220734903775295ADF29F948CFB24 -S315400205705295B9BE5D52A9DA5295C583B6F7AB0319 -S315400205805295D142B6DBADC55295DCFB673B05DFE2 -S315400205905295E8ADD236A58F5295F45A01D483B41A -S315400205A0529600000000000052960B9FD68A455490 -S315400205B0529617398F2AAA48529622CD337F0FE890 -S315400205C052962E5ACD0C3EBE529639E2653E421B9B -S315400205D0529645640568C1C3529650DFB6C759F470 -S315400205E052965C55827DF1D2529667C57199104BEF -S315400205F05296732F8D0E2F7752967E93DDBC0E73D5 -S31540020600529689F26C6B01D05296954B41CD4293EC -S315400206100BC0A4068F346C9B0BC093731C185447B3 -S315400206200BC083008E183C230BC072AE83A9704A5E -S315400206300BC0627C9CC166FF0BC0526A7ACE64A430 -S315400206400BC04277C0B04ADA0BC032A412B191A0B5 -S315400206500BC022EF168069500BC0135873280473DF -S315400206600BC003DFD10A08480BBFE909B3B04632D3 -S315400206700BBFCA8E711B8E880BBFAC4D32D4143061 -S315400206800BBF8E4553D34B1B0BBF7076318237EF70 -S315400206900BBF52DF2BADF99C0BBF357FA47C936C0D -S315400206A00BBF18570061F5EB0BBEFB64A61545154B -S315400206B00BBEDEA7FE865A2B0BBEC22074D37FBC6E -S315400206C00BBEA5CD763F66690BBE89AE722750F04A -S315400206D00BBE6DC2D9F976230BBE520A212B976CFB -S315400206E00BBE3683BD31CAA20BBE1B2F257575CAFA -S315400206F00BBE000BD34C7BAF0BBDE51941F097FE09 -S315400207000BBDCA56EE76E9D00BBDAFC457C7AB7325 -S315400207100BBD9560FE9616690BBD7B2C65587275AE -S315400207200BBD612610404EC00BBD474D8532E409D4 -S315400207300BBD2DA24BC19EDF0BBD1423ED22D10171 -S315400207400BBCFAD1F42A88E40BBCE1ABED438E80B4 -S315400207500BBCC8B1666884820BBCAFE1EF1D2D01AC -S315400207600BBC973D1867D0EF0BBC7EC274CAC962F8 -S315400207700BBC6671983E29FE0BBC4E4A18298BA9C2 -S315400207800BBC364B8B5DF6DB0BBC1E758A0FECBF82 -S315400207900BBC06C7ADD18E7E0BBBEF41918CE1F609 -S315400207A00BBBD7E2D17E33360BBBC0AB0B2E921BB3 -S315400207B00BBBA999DD6E6B650BBB92AEE8503CA7AD -S315400207C00BBB7BE9CD2362720BBB654A2E6F002CB5 -S315400207D00BBB4ECFAFED00FE0BBB3879F685313FF2 -S315400207E00BBB2248A8486FDE0BBB0C3B6C6BF73B3E -S315400207F00BBAF651EB44BCEE0BBAE08BCE42E7F1B4 -S315400208000BBACAE8BFED5CC00BBAB5686BDD5EDDFC -S315400208100BBAA00A7EBA475E0BBA8ACEA6354FEB12 -S315400208200BBA75B4910571DB0BBA60BBEEE358EFB8 -S315400208300BBA4BE4708569410BBA372DC79BD7FE7D -S315400208400BBA2297A6CCD68C0BBA0E21C1B0CFA03A -S315400208500BB9F9CBCCCEB6050BB9E5957D98648B31 -S315400208600BB9D17E8A670EE70BB9BD86AA77C3104C -S315400208700BB9A9AD95E7FAC40BB995F305B23CE5B8 -S315400208800BB98256B3AACE570BB96ED85A7C7206AA -S315400208900BB95B77B5A537C80BB94834817359CCC8 -S315400208A00BB9350E7B02284D0BB922056037032E54 -S315400208B00BB90F18EFBE614A0BB8FC48E908E522AE -S315400208C00BB8E9950C487EA90BB8D6FD1A6D99E886 -S315400208D00BB8C480D5245A340BB8B21FFED1E1BC42 -S315400208E00BB89FDA5A91A5260BB88DAFAC32CB0A1C -S315400208F00BB87B9FB83596F60BB869AA43C8DFE1B9 -S315400209000BB857CF14C791B50BB8460DF1B639C6D9 -S315400209100BB83466A1C09DF90BB822D8ECB75E6E0F -S315400209200BB811649B0DA16B0BB8000975D6C9595A -S315400209300BB7EEC746C434A50BB7DD9DD823075285 -S315400209400BB7CC8CF4D9FE010BB7BB9468674A50FF -S315400209500BB7AAB3FEDE78540BB799EB84E65D0C6F -S315400209600BB7893AC7B70D960BB778A19517DF0132 -S315400209700BB7681FBB5D6E910BB757B50967B24C93 -S315400209800BB747614EA011A20BB737245AF786140C -S315400209900BB726FDFEE4C3A00BB716EE0B6268E862 -S315400209A00BB706F451ED36CE0BB6F710A4824F8044 -S315400209B00BB6E742D59D7CB40BB6D78AB8377D0EC7 -S315400209C00BB6C7E81FC458720BB6B85AE031BB32F1 -S315400209D00BB6A8E2CDE557F90BB6997FBDBB50459C -S315400209E00BB68A318504A35C0BB67AF7F985A39BCD -S315400209F00BB66BD2F17471FF0BB65CC243777FCEF6 -S31540020A000BB64DC5C6A416420BB63EDD527CE4116A -S31540020A100BB63008BEF090CF0BB62147E45855EBE3 -S31540020A200BB6129A9B769D520BB60400BD75A584F1 -S31540020A300BB5F57A23E62B070BB5E706A8BE172CAE -S31540020A400BB5D8A6265733FF0BB5CA58776DE54B7B -S31540020A500BB5BC1D771FE6AB0BB5ADF500EB0E78BB -S31540020A600BB59FDEF0AC15940BB591DB229D63F27C -S31540020A700BB583E97355E1C10BB57609BFC7CD32D4 -S31540020A800BB5683BE53F94B80BB55A7FC162B5B624 -S31540020A900BB54CD5322E9F7F0BB53F3C15F79AA12D -S31540020AA00BB531B44B67B45A0BB5243DB17DAE306C -S31540020AB00BB516D8278BF18F0BB509838D37876710 -S31540020AC00BB4FC3FC27713AE0BB4EF0CA791D4BB69 -S31540020AD00BB4E1EA1D1EA6680BB4D4D8040308E59C -S31540020AE00BB4C7D63D722B370BB4BAE4AAEBF9481E -S31540020AF00BB4AE032E3C2D7E0BB4A131A97965C948 -S31540020B000BB4946FFF043C1C0BB487BE118662364D -S31540020B100BB47B1BC3F1C0BF0BB46E88F97F999BA4 -S31540020B200BB4620595AFAD730BB455917C476454D3 -S31540020B300BB4492C9150F96C0BB43CD6B91AA9C8DE -S31540020B400BB4308FD835E60B0BB42457D3768716C1 -S31540020B500BB4182E8FF205900BB40C13F2FEB43B75 -S31540020B600BB40007E232FD1F0BB3F40A4364A167DC -S31540020B700BB3E81AFCA7FBF00BB3DC39F44F468AF9 -S31540020B800BB3D06710E9E1C30BB3C4A239439F4FFD -S31540020B900BB3B8EB54640EF10BB3AD42498DCDDFC6 -S31540020BA00BB3A1A7003DD89B0BB39619602ADF2B46 -S31540020BB00BB38A9951449BB80BB37F26BBB32B79AF -S31540020BC00BB373C187D669EA0BB368699E454E4437 -S31540020BD00BB35D1EE7CD4B2D0BB351E14D71B09872 -S31540020BE00BB346B0B86B0FC30BB33B8D1226A15A5B -S31540020BF00BB330764445ADAC0BB3256C389CF6EB63 -S31540020C000BB31A6ED934256E0BB30F7E104535F5EC -S31540020C100BB30499C83BE9D60BB2F9C1EBB53923FC -S31540020C200BB2EEF6657EC6AA0BB2E437209655D5D0 -S31540020C300BB2D984082942630BB2CEDD0793F9E79A -S31540020C400BB2C4420A6177190BB2B9B2FC4ABEDA98 -S31540020C500BB2AF2FC9365EFF0BB2A4B85D37EEC1F9 -S31540020C600BB29A4CA48F90E30BB28FEC8BA9777997 -S31540020C700BB28597FF1D694D0BB27B4EEBAE48DD3D -S31540020C800BB271113E499CEE0BB266DEE4071AA81E -S31540020C900BB25CB7CA2831380BB2529BDE1796F7B5 -S31540020CA00BB2488B0D68D8030BB23E8545D7E65A40 -S31540020CB00BB2348A7548AB540BB22A9A89C69A97B4 -S31540020CC00BB220B5718446610BB216DB1ADAF53FD8 -S31540020CD00BB20D0B744A39100BB203466C77876C14 -S31540020CE00BB1F98BF22DD3490BB1EFDBF45D27FF44 -S31540020CF00BB1E636621A457E0BB1DC9B2A9E3DD588 -S31540020D000BB1D30A3D4613EE0BB1C98389925B817F -S31540020D100BB1C006FF26DA3F0BB1B6948DCA2A281C -S31540020D200BB1AD2C25655D0F0BB1A3CDB603A13D2D -S31540020D300BB19A792FD1E7410BB1912E831E88D2FE -S31540020D400BB187EDA058F0D60BB17EB6781144733D -S31540020D500BB17588FAF80D3A0BB16C6519DDE45D95 -S31540020D600BB1634AC5B31EEF0BB15A39EF877B28E5 -S31540020D700BB151328889CEAE0BB148348207B5DB0E -S31540020D800BB13F3FCD6D43FF0BB136545C44B49A31 -S31540020D900BB12D7220361D870BB124990B07221BEE -S31540020DA00BB11BC90E9AA72D0BB113021CF0880F6B -S31540020DB00BB10A4428254C650BB1018F2271DEE541 -S31540020DC00BB0F8E2FE2B44F40BB0F03FADC2572312 -S31540020DD00BB0E7A523C37A850BB0DF1352D65AD997 -S31540020DE00BB0D68A2DBDA58C0BB0CE09A756C589A8 -S31540020DF00BB0C591B2999FDB0BB0BD2242985115FB -S31540020E000BB0B4BB4A7EEB870BB0AC5CBD933636B7 -S31540020E1065300000653010006530200065303000D6 -S31540020E2065304000653050006530600065307000C6 -S31540020E3065308000653090006530A0006530B000B6 -S31540020E406530C0006530D0006530E0006530F000A6 -S31540020E506531000065311000653120006531300092 -S31540020E606531400065315000653160006531700082 -S31540020E7065318000653190006531A0006531B00072 -S31540020E806531C0006531D0006531E0006531F00062 -S31540020E90653200006532100065322000653230004E -S31540020EA0653240006532500065326000653270003E -S31540020EB065328000653290006532A0006532B0002E -S31540020EC06532C0006532D0006532E0006532F0001E -S31540020ED0653300006533100065332000653330000A -S31540020EE065334000653350006533600065337000FA -S31540020EF065338000653390006533A0006533B000EA -S31540020F006533C0006533D0006533E0006533F000D9 -S31540020F1065340000653410006534200065343000C5 -S31540020F2065344000653450006534600065347000B5 -S31540020F3065348000653490006534A0006534B000A5 -S31540020F406534C0006534D0006534E0006534F00095 -S31540020F506535000065351000653520006535300081 -S31540020F606535400065355000653560006535700071 -S31540020F7065358000653590006535A0006535B00061 -S31540020F806535C0006535D0006535E0006535F00051 -S31540020F90653600006536100065362000653630003D -S31540020FA0653640006536500065366000653670002D -S31540020FB065368000653690006536A0006536B0001D -S31540020FC06536C0006536D0006536E0006536F0000D -S31540020FD065370000653710006537200065373000F9 -S31540020FE065374000653750006537600065377000E9 -S31540020FF065378000653790006537A0006537B000D9 -S315400210006537C0006537D0006537E0006537F000C8 -S3154002101065380000653810006538200065383000B4 -S3154002102065384000653850006538600065387000A4 -S3154002103065388000653890006538A0006538B00094 -S315400210406538C0006538D0006538E0006538F00084 -S315400210506539000065391000653920006539300070 -S315400210606539400065395000653960006539700060 -S3154002107065398000653990006539A0006539B00050 -S315400210806539C0006539D0006539E0006539F00040 -S31540021090653A0000653A1000653A2000653A30002C -S315400210A0653A4000653A5000653A6000653A70001C -S315400210B0653A8000653A9000653AA000653AB0000C -S315400210C0653AC000653AD000653AE000653AF000FC -S315400210D0653B0000653B1000653B2000653B3000E8 -S315400210E0653B4000653B5000653B6000653B7000D8 -S315400210F0653B8000653B9000653BA000653BB000C8 -S31540021100653BC000653BD000653BE000653BF000B7 -S31540021110653C0000653C1000653C2000653C3000A3 -S31540021120653C4000653C5000653C6000653C700093 -S31540021130653C8000653C9000653CA000653CB00083 -S31540021140653CC000653CD000653CE000653CF00073 -S31540021150653D0000653D1000653D2000653D30005F -S31540021160653D4000653D5000653D6000653D70004F -S31540021170653D8000653D9000653DA000653DB0003F -S31540021180653DC000653DD000653DE000653DF0002F -S31540021190653E0000653E1000653E2000653E30001B -S315400211A0653E4000653E5000653E6000653E70000B -S315400211B0653E8000653E9000653EA000653EB000FB -S315400211C0653EC000653ED000653EE000653EF000EB -S315400211D0653F0000653F1000653F2000653F3000D7 -S315400211E0653F4000653F5000653F6000653F7000C7 -S315400211F0653F8000653F9000653FA000653FB000B7 -S31540021200653FC000653FD000653FE000653FF000A6 -S315400212104200000000000000400FFFFFFFFFFFFFFB -S315400212204200000000200000420FFFFFFFFFFFFFC9 -S3154002123040000000000000004210000000080000CC -S3154002124042000000000000013EB000000000000124 -S315400212504200000000000002420F484C0137D2080B -S31540021260C20E780F256007AB41BA079B7AF94BA0AD -S315400212704201484C0137D208420E780F256007AB2F -S315400212804217E02D934BECDA420F484C0137D20815 -S31540021290C21E780F256007ABC20DA7D249883D4EC4 -S315400212A0421F484C0137D208C20E780F256007AB61 -S315400212B042100C446E87CE32C03340AB371208918F -S315400212C00000000000000000C03340AB3712089116 -S315400212D00000000000000000C29E7A0F236007A6AD -S315400212E0C29E7A0F236007A66F3F484C0137D20849 -S315400212F06E2E780F256007AB6F3F485B3D3F64B863 -S315400213006F3F484C0137D208EE2E780F256007AB67 -S315400213106F3F483CC5303F587FE2F780AB123809F1 -S315400213207FD00000000000007FEAF780AB12380948 -S31540021330002000000000000080280000000000009D -S3154002134080100000000000007FEFF780AB123809E2 -S315400213507FEFF2010203A1117FF0000000000000BE -S315400213600010000000001000801FFFFFF203A111D1 -S315400213708000000000000000001ABCD000023809BC -S31540021380801ABCD0000001110000000000000000DD -S315400213907E71000000000000416010000000000065 -S315400213A07FE11100000000000178100000000000FB -S315400213B03E880000FFF0000000120C00C073F800E7 -S315400213C0C1EFFFFFC00020003FB3C75D224F280F89 -S315400213D0C1B3C75CFAC08192A12FFF8000001FFFF4 -S315400213E03EE0000000FF0000A01FFF8001FE18073C -S315400213F041CFFFFE0000002040303FFFFFFFFFFDD0 -S3154002140042103FFEFC00000D3FD000003FEFFFFFC1 -S31540021410BFD0000010000000BFB000004FF0003FF8 -S3154002142001701000000000003E8000011A0000001A -S3154002143000000000000000007E7C0000000000006A -S31540021440416A1000010000107FF000000000000019 -S3154002145075012034056AC000FA1009091000104FC0 -S31540021460FFF00000000000000100203040030200AF -S31540021470003020340000A00B0000000000000000F5 -S315400214807FE0001010200001400000000010200AFA -S315400214907FF00000000000003FEFDFF00FFC484AFB -S315400214A0BFF80000000000007FFF000000000000BF -S315400214B07FFFE000000000007FF400000000000013 -S315400214C07FC00000000000007FF80000000000001E -S315400214D07FF0000000000000FFF000000000000066 -S315400214E0FFF0000000000000800000000000000045 -S315400214F000000000000100000000000000000000A3 -S315400215000000000000000000000000000000000093 -S315400215100000000000000000000000000000000083 -S315400215200000000000000000000000000000000073 -S315400215300000000000000000000000000000000063 -S315400215400000000000000000000000000000000053 -S315400215500000000000000000000000000000000043 -S315400215600000000000000000000000000000000033 -S315400215700000000000000000000000000000000023 -S315400215800000000000000000000000000000000013 -S315400215900000000000000000000000000000000003 -S315400215A000000000000000000000000000000000F3 -S315400215B000000000000000000000000000000000E3 -S315400215C000000000000000000000000000000000D3 -S315400215D000000000000000000000000000000000C3 -S315400215E000000000000000000000000000000000B3 -S315400215F000000000000000000000000000000000A3 -S315400216000000000000000000000000000000000092 -S315400216100000000000000000000000000000000082 -S315400216200000000000000000000000000000000072 -S315400216300000000000000000000000000000000062 -S315400216400000000000000000000000000000000052 -S315400216500000000000000000000000000000000042 -S315400216600000000000000000000000000000000032 -S315400216700000000000000000000000000000000022 -S315400216800000000000000000000000000000000012 -S315400216900000000000000000000000000000000002 -S315400216A000000000000000000000000000000000F2 -S315400216B000000000000000000000000000000000E2 -S315400216C000000000000000000000000000000000D2 -S315400216D000000000000000000000000000000000C2 -S315400216E000000000000000000000000000000000B2 -S315400216F000000000000000000000000000000000A2 -S315400217000000000000000000000000000000000091 -S315400217100000000000000000000000000000000081 -S315400217200000000000000000000000000000000071 -S315400217300000000000000000000000000000000061 -S315400217400000000000000000000000000000000051 -S315400217500000000000000000000000000000000041 -S315400217600000000000000000000000000000000031 -S315400217700000000000000000000000000000000021 -S315400217800000000000000000000000000000000011 -S315400217900000000000000000000000000000000001 -S315400217A000000000000000000000000000000000F1 -S315400217B000000000000000000000000000000000E1 -S315400217C000000000000000000000000000000000D1 -S315400217D000000000000000000000000000000000C1 -S315400217E000000000000000000000000000000000B1 -S315400217F000000000000000000000000000000000A1 -S315400218000000000000000000000000000000000090 -S315400218100000000000000000000000000000000080 -S315400218200000000000000000000000000000000070 -S315400218300000000000000000000000000000000060 -S315400218400000000000000000000000000000000050 -S315400218500000000000000000000000000000000040 -S315400218600000000000000000000000000000000030 -S315400218700000000000000000000000000000000020 -S315400218800000000000000000000000000000000010 -S315400218900000000000000000000000000000000000 -S315400218A000000000000000000000000000000000F0 -S315400218B000000000000000000000000000000000E0 -S315400218C000000000000000000000000000000000D0 -S315400218D000000000000000000000000000000000C0 -S315400218E000000000000000000000000000000000B0 -S315400218F000000000000000000000000000000000A0 -S31540021900000000000000000000000000000000008F -S31540021910000000000000000000000000000000007F -S31540021920000000000000000000000000000000006F -S31540021930000000000000000000000000000000005F -S31540021940000000000000000000000000000000004F -S31540021950000000000000000000000000000000003F -S31540021960000000000000000000000000000000002F -S31540021970000000000000000000000000000000001F -S31540021980000000000000000000000000000000000F -S3154002199000000000000000000000000000000000FF -S315400219A000000000000000000000000000000000EF -S315400219B000000000000000000000000000000000DF -S315400219C000000000000000000000000000000000CF -S315400219D000000000000000000000000000000000BF -S315400219E000000000000000000000000000000000AF -S315400219F0000000000000000000000000000000009F -S31540021A00000000000000000000000000000000008E -S31540021A10000000000000000000000000000000007E -S31540021A20000000000000000000000000000000006E -S31540021A30000000000000000000000000000000005E -S31540021A40000000000000000000000000000000004E -S31540021A50000000000000000000000000000000003E -S31540021A60000000000000000000000000000000002E -S31540021A70000000000000000000000000000000001E -S31540021A80000000000000000000000000000000000E -S31540021A9000000000000000000000000000000000FE -S31540021AA000000000000000000000000000000000EE -S31540021AB000000000000000000000000000000000DE -S31540021AC000000000000000000000000000000000CE -S31540021AD000000000000000000000000000000000BE -S31540021AE000000000000000000000000000000000AE -S31540021AF0000000000000000000000000000000009E -S31540021B00000000000000000000000000000000008D -S31540021B10000000000000000000000000000000007D -S31540021B20000000000000000000000000000000006D -S31540021B30000000000000000000000000000000005D -S31540021B40000000000000000000000000000000004D -S31540021B50000000000000000000000000000000003D -S31540021B60000000000000000000000000000000002D -S31540021B70000000000000000000000000000000001D -S31540021B80000000000000000000000000000000000D -S31540021B9000000000000000000000000000000000FD -S31540021BA000000000000000000000000000000000ED -S31540021BB000000000000000000000000000000000DD -S31540021BC000000000000000000000000000000000CD -S31540021BD000000000000000000000000000000000BD -S31540021BE000000000000000000000000000000000AD -S31540021BF0000000000000000000000000000000009D -S31540021C00000000000000000000000000000000008C -S31540021C10000000000000000000000000000000007C -S31540021C20000000000000000000000000000000006C -S31540021C30000000000000000000000000000000005C -S31540021C40000000000000000000000000000000004C -S31540021C50000000000000000000000000000000003C -S31540021C60000000000000000000000000000000002C -S31540021C70000000000000000000000000000000001C -S31540021C80000000000000000000000000000000000C -S31540021C9000000000000000000000000000000000FC -S31540021CA000000000000000000000000000000000EC -S31540021CB000000000000000000000000000000000DC -S31540021CC000000000000000000000000000000000CC -S31540021CD000000000000000000000000000000000BC -S31540021CE000000000000000000000000000000000AC -S31540021CF0000000000000000000000000000000009C -S31540021D00000000000000000000000000000000008B -S31540021D10000000000000000000000000000000007B -S31540021D20000000000000000000000000000000006B -S31540021D30000000000000000000000000000000005B -S31540021D40000000000000000000000000000000004B -S31540021D50000000000000000000000000000000003B -S31540021D60000000000000000000000000000000002B -S31540021D70000000000000000000000000000000001B -S31540021D80000000000000000000000000000000000B -S31540021D9000000000000000000000000000000000FB -S31540021DA000000000000000000000000000000000EB -S31540021DB000000000000000000000000000000000DB -S31540021DC000000000000000000000000000000000CB -S31540021DD000000000000000000000000000000000BB -S31540021DE000000000000000000000000000000000AB -S31540021DF0000000000000000000000000000000009B -S31540021E00000000000000000000000000000000008A -S31540021E10000000000000000000000000000000007A -S31540021E20000000000000000000000000000000006A -S31540021E30000000000000000000000000000000005A -S31540021E40000000000000000000000000000000004A -S31540021E50000000000000000000000000000000003A -S31540021E60000000000000000000000000000000002A -S31540021E70000000000000000000000000000000001A -S31540021E80000000000000000000000000000000000A -S31540021E9000000000000000000000000000000000FA -S31540021EA000000000000000000000000000000000EA -S31540021EB000000000000000000000000000000000DA -S31540021EC000000000000000000000000000000000CA -S31540021ED000000000000000000000000000000000BA -S31540021EE000000000000000000000000000000000AA -S31540021EF0000000000000000000000000000000009A -S31540021F000000000000000000000000000000000089 -S31540021F100000000000000000000000000000000079 -S31540021F200000000000000000000000000000000069 -S31540021F300000000000000000000000000000000059 -S31540021F400000000000000000000000000000000049 -S31540021F500000000000000000000000000000000039 -S31540021F600000000000000000000000000000000029 -S31540021F700000000000000000000000000000000019 -S31540021F800000000000000000000000000000000009 -S31540021F9000000000000000000000000000000000F9 -S31540021FA000000000000000000000000000000000E9 -S31540021FB000000000000000000000000000000000D9 -S31540021FC000000000000000000000000000000000C9 -S31540021FD000000000000000000000000000000000B9 -S31540021FE000000000000000000000000000000000A9 -S31540021FF00000000000000000000000000000000099 -S315400220000000000000000000000000000000000088 -S315400220100000000000000000000000000000000078 -S315400220200000000000000000000000000000000068 -S315400220300000000000000000000000000000000058 -S315400220400000000000000000000000000000000048 -S315400220500000000000000000000000000000000038 -S315400220600000000000000000000000000000000028 -S315400220700000000000000000000000000000000018 -S315400220800000000000000000000000000000000008 -S3154002209000000000000000000000000000000000F8 -S315400220A000000000000000000000000000000000E8 -S315400220B000000000000000000000000000000000D8 -S315400220C000000000000000000000000000000000C8 -S315400220D000000000000000000000000000000000B8 -S315400220E000000000000000000000000000000000A8 -S315400220F00000000000000000000000000000000098 -S315400221000000000000000000000000000000000087 -S315400221100000000000000000000000000000000077 -S315400221200000000000000000000000000000000067 -S315400221300000000000000000000000000000000057 -S315400221400000000000000000000000000000000047 -S315400221500000000000000000000000000000000037 -S315400221600000000000000000000000000000000027 -S315400221700000000000000000000000000000000017 -S315400221800000000000000000000000000000000007 -S3154002219000000000000000000000000000000000F7 -S315400221A000000000000000000000000000000000E7 -S315400221B000000000000000000000000000000000D7 -S315400221C000000000000000000000000000000000C7 -S315400221D000000000000000000000000000000000B7 -S315400221E000000000000000000000000000000000A7 -S315400221F00000000000000000000000000000000097 -S315400222000000000000000000000000000000000086 -S315400222100000000000000000000000000000000076 -S315400222200000000000000000000000000000000066 -S315400222300000000000000000000000000000000056 -S315400222400000000000000000000000000000000046 -S315400222500000000000000000000000000000000036 -S315400222600000000000000000000000000000000026 -S315400222700000000000000000000000000000000016 -S315400222800000000000000000000000000000000006 -S3154002229000000000000000000000000000000000F6 -S315400222A000000000000000000000000000000000E6 -S315400222B000000000000000000000000000000000D6 -S315400222C000000000000000000000000000000000C6 -S315400222D000000000000000000000000000000000B6 -S315400222E000000000000000000000000000000000A6 -S315400222F00000000000000000000000000000000096 -S315400223000000000000000000000000000000000085 -S315400223100000000000000000000000000000000075 -S315400223200000000000000000000000000000000065 -S315400223300000000000000000000000000000000055 -S315400223400000000000000000000000000000000045 -S315400223500000000000000000000000000000000035 -S315400223600000000000000000000000000000000025 -S315400223700000000000000000000000000000000015 -S315400223800000000000000000000000000000000005 -S3154002239000000000000000000000000000000000F5 -S315400223A000000000000000000000000000000000E5 -S315400223B000000000000000000000000000000000D5 -S315400223C000000000000000000000000000000000C5 -S315400223D000000000000000000000000000000000B5 -S315400223E000000000000000000000000000000000A5 -S315400223F00000000000000000000000000000000095 -S315400224000000000000000000000000000000000084 -S315400224100000000000000000000000000000000074 -S315400224200000000000000000000000000000000064 -S315400224300000000000000000000000000000000054 -S315400224400000000000000000000000000000000044 -S315400224500000000000000000000000000000000034 -S315400224600000000000000000000000000000000024 -S315400224700000000000000000000000000000000014 -S315400224800000000000000000000000000000000004 -S3154002249000000000000000000000000000000000F4 -S315400224A000000000000000000000000000000000E4 -S315400224B000000000000000000000000000000000D4 -S315400224C000000000000000000000000000000000C4 -S315400224D000000000000000000000000000000000B4 -S315400224E000000000000000000000000000000000A4 -S315400224F00000000000000000000000000000000094 -S315400225000000000000000000000000000000000083 -S315400225100000000000000000000000000000000073 -S315400225200000000000000000000000000000000063 -S315400225300000000000000000000000000000000053 -S315400225400000000000000000000000000000000043 -S315400225500000000000000000000000000000000033 -S315400225600000000000000000000000000000000023 -S315400225700000000000000000000000000000000013 -S315400225800000000000000000000000000000000003 -S3154002259000000000000000000000000000000000F3 -S315400225A000000000000000000000000000000000E3 -S315400225B000000000000000000000000000000000D3 -S315400225C000000000000000000000000000000000C3 -S315400225D000000000000000000000000000000000B3 -S315400225E000000000000000000000000000000000A3 -S315400225F00000000000000000000000000000000093 -S315400226000000000000000000000000000000000082 -S315400226100000000000000000000000000000000072 -S315400226200000000000000000000000000000000062 -S315400226300000000000000000000000000000000052 -S315400226400000000000000000000000000000000042 -S315400226500000000000000000000000000000000032 -S315400226600000000000000000000000000000000022 -S315400226700000000000000000000000000000000012 -S315400226800000000000000000000000000000000002 -S3154002269000000000000000000000000000000000F2 -S315400226A000000000000000000000000000000000E2 -S315400226B000000000000000000000000000000000D2 -S315400226C000000000000000000000000000000000C2 -S315400226D000000000000000000000000000000000B2 -S315400226E000000000000000000000000000000000A2 -S315400226F00000000000000000000000000000000092 -S315400227000000000000000000000000000000000081 -S315400227100000000000000000000000000000000071 -S315400227200000000000000000000000000000000061 -S315400227300000000000000000000000000000000051 -S315400227400000000000000000000000000000000041 -S315400227500000000000000000000000000000000031 -S315400227600000000000000000000000000000000021 -S315400227700000000000000000000000000000000011 -S315400227800000000000000000000000000000000001 -S3154002279000000000000000000000000000000000F1 -S315400227A000000000000000000000000000000000E1 -S315400227B000000000000000000000000000000000D1 -S315400227C000000000000000000000000000000000C1 -S315400227D000000000000000000000000000000000B1 -S315400227E000000000000000000000000000000000A1 -S315400227F00000000000000000000000000000000091 -S315400228000000000000000000000000000000000080 -S315400228100000000000000000000000000000000070 -S315400228200000000000000000000000000000000060 -S315400228300000000000000000000000000000000050 -S315400228400000000000000000000000000000000040 -S315400228500000000000000000000000000000000030 -S315400228600000000000000000000000000000000020 -S315400228700000000000000000000000000000000010 -S315400228800000000000000000000000000000000000 -S3154002289000000000000000000000000000000000F0 -S315400228A000000000000000000000000000000000E0 -S315400228B000000000000000000000000000000000D0 -S315400228C000000000000000000000000000000000C0 -S315400228D000000000000000000000000000000000B0 -S315400228E000000000000000000000000000000000A0 -S315400228F00000000000000000000000000000000090 -S31540022900000000000000000000000000000000007F -S31540022910000000000000000000000000000000006F -S31540022920000000000000000000000000000000005F -S31540022930000000000000000000000000000000004F -S31540022940000000000000000000000000000000003F -S31540022950000000000000000000000000000000002F -S31540022960000000000000000000000000000000001F -S31540022970000000000000000000000000000000000F -S3154002298000000000000000000000000000000000FF -S3154002299000000000000000000000000000000000EF -S315400229A000000000000000000000000000000000DF -S315400229B000000000000000000000000000000000CF -S315400229C000000000000000000000000000000000BF -S315400229D000000000000000000000000000000000AF -S315400229E0000000000000000000000000000000009F -S315400229F0000000000000000000000000000000008F -S31540022A00000000000000000000000000000000007E -S31540022A10000000000000000000000000000000006E -S31540022A20000000000000000000000000000000005E -S31540022A30000000000000000000000000000000004E -S31540022A40000000000000000000000000000000003E -S31540022A50000000000000000000000000000000002E -S31540022A60000000000000000000000000000000001E -S31540022A70000000000000000000000000000000000E -S31540022A8000000000000000000000000000000000FE -S31540022A9000000000000000000000000000000000EE -S31540022AA000000000000000000000000000000000DE -S31540022AB000000000000000000000000000000000CE -S31540022AC000000000000000000000000000000000BE -S31540022AD000000000000000000000000000000000AE -S31540022AE0000000000000000000000000000000009E -S31540022AF0000000000000000000000000000000008E -S31540022B00000000000000000000000000000000007D -S31540022B10000000000000000000000000000000006D -S31540022B20000000000000000000000000000000005D -S31540022B30000000000000000000000000000000004D -S31540022B40000000000000000000000000000000003D -S31540022B50000000000000000000000000000000002D -S31540022B60000000000000000000000000000000001D -S31540022B70000000000000000000000000000000000D -S31540022B8000000000000000000000000000000000FD -S31540022B9000000000000000000000000000000000ED -S31540022BA000000000000000000000000000000000DD -S31540022BB000000000000000000000000000000000CD -S31540022BC000000000000000000000000000000000BD -S31540022BD000000000000000000000000000000000AD -S31540022BE0000000000000000000000000000000009D -S31540022BF0000000000000000000000000000000008D -S31540022C00000000000000000000000000000000007C -S31540022C10000000000000000000000000000000006C -S31540022C20000000000000000000000000000000005C -S31540022C30000000000000000000000000000000004C -S31540022C40000000000000000000000000000000003C -S31540022C50000000000000000000000000000000002C -S31540022C60000000000000000000000000000000001C -S31540022C70000000000000000000000000000000000C -S31540022C8000000000000000000000000000000000FC -S31540022C9000000000000000000000000000000000EC -S31540022CA000000000000000000000000000000000DC -S31540022CB000000000000000000000000000000000CC -S31540022CC000000000000000000000000000000000BC -S31540022CD000000000000000000000000000000000AC -S31540022CE0000000000000000000000000000000009C -S31540022CF0000000000000000000000000000000008C -S31540022D00000000000000000000000000000000007B -S31540022D10000000000000000000000000000000006B -S31540022D20000000000000000000000000000000005B -S31540022D30000000000000000000000000000000004B -S31540022D40000000000000000000000000000000003B -S31540022D50000000000000000000000000000000002B -S31540022D60000000000000000000000000000000001B -S31540022D70000000000000000000000000000000000B -S31540022D8000000000000000000000000000000000FB -S31540022D9000000000000000000000000000000000EB -S31540022DA000000000000000000000000000000000DB -S31540022DB000000000000000000000000000000000CB -S31540022DC000000000000000000000000000000000BB -S31540022DD000000000000000000000000000000000AB -S31540022DE0000000000000000000000000000000009B -S31540022DF0000000000000000000000000000000008B -S31540022E00000000000000000000000000000000007A -S31540022E10000000000000000000000000000000006A -S31540022E20000000000000000000000000000000005A -S31540022E30000000000000000000000000000000004A -S31540022E40000000000000000000000000000000003A -S31540022E50000000000000000000000000000000002A -S31540022E60000000000000000000000000000000001A -S31540022E70000000000000000000000000000000000A -S31540022E8000000000000000000000000000000000FA -S31540022E9000000000000000000000000000000000EA -S31540022EA000000000000000000000000000000000DA -S31540022EB000000000000000000000000000000000CA -S31540022EC000000000000000000000000000000000BA -S31540022ED000000000000000000000000000000000AA -S31540022EE0000000000000000000000000000000009A -S31540022EF0000000000000000000000000000000008A -S31540022F000000000000000000000000000000000079 -S31540022F100000000000000000000000000000000069 -S31540022F200000000000000000000000000000000059 -S31540022F300000000000000000000000000000000049 -S31540022F400000000000000000000000000000000039 -S31540022F500000000000000000000000000000000029 -S31540022F600000000000000000000000000000000019 -S31540022F700000000000000000000000000000000009 -S31540022F8000000000000000000000000000000000F9 -S31540022F9000000000000000000000000000000000E9 -S31540022FA000000000000000000000000000000000D9 -S31540022FB000000000000000000000000000000000C9 -S31540022FC000000000000000000000000000000000B9 -S31540022FD000000000000000000000000000000000A9 -S31540022FE00000000000000000000000000000000099 -S31540022FF00000000000000000000000000000000089 -S315400230000000000000000000000000000000000078 -S315400230100000000000000000000000000000000068 -S315400230200000000000000000000000000000000058 -S315400230300000000000000000000000000000000048 -S315400230400000000000000000000000000000000038 -S315400230500000000000000000000000000000000028 -S315400230600000000000000000000000000000000018 -S315400230700000000000000000000000000000000008 -S3154002308000000000000000000000000000000000F8 -S3154002309000000000000000000000000000000000E8 -S315400230A000000000000000000000000000000000D8 -S315400230B000000000000000000000000000000000C8 -S315400230C000000000000000000000000000000000B8 -S315400230D000000000000000000000000000000000A8 -S315400230E00000000000000000000000000000000098 -S315400230F00000000000000000000000000000000088 -S315400231000000000000000000000000000000000077 -S315400231100000000000000000000000000000000067 -S315400231200000000000000000000000000000000057 -S315400231300000000000000000000000000000000047 -S315400231400000000000000000000000000000000037 -S315400231500000000000000000000000000000000027 -S315400231600000000000000000000000000000000017 -S315400231700000000000000000000000000000000007 -S3154002318000000000000000000000000000000000F7 -S3154002319000000000000000000000000000000000E7 -S315400231A000000000000000000000000000000000D7 -S315400231B000000000000000000000000000000000C7 -S315400231C000000000000000000000000000000000B7 -S315400231D000000000000000000000000000000000A7 -S315400231E00000000000000000000000000000000097 -S315400231F00000000000000000000000000000000087 -S315400232000000000000000000000000000000000076 -S315400232100000000000000000000000000000000066 -S315400232200000000000000000000000000000000056 -S315400232300000000000000000000000000000000046 -S315400232400000000000000000000000000000000036 -S315400232500000000000000000000000000000000026 -S315400232600000000000000000000000000000000016 -S315400232700000000000000000000000000000000006 -S3154002328000000000000000000000000000000000F6 -S3154002329000000000000000000000000000000000E6 -S315400232A000000000000000000000000000000000D6 -S315400232B000000000000000000000000000000000C6 -S315400232C000000000000000000000000000000000B6 -S315400232D000000000000000000000000000000000A6 -S315400232E00000000000000000000000000000000096 -S315400232F00000000000000000000000000000000086 -S315400233000000000000000000000000000000000075 -S315400233100000000000000000000000000000000065 -S315400233200000000000000000000000000000000055 -S315400233300000000000000000000000000000000045 -S315400233400000000000000000000000000000000035 -S315400233500000000000000000000000000000000025 -S315400233600000000000000000000000000000000015 -S315400233700000000000000000000000000000000005 -S3154002338000000000000000000000000000000000F5 -S3154002339000000000000000000000000000000000E5 -S315400233A000000000000000000000000000000000D5 -S315400233B000000000000000000000000000000000C5 -S315400233C000000000000000000000000000000000B5 -S315400233D000000000000000000000000000000000A5 -S315400233E00000000000000000000000000000000095 -S315400233F00000000000000000000000000000000085 -S315400234000000000000000000000000000000000074 -S315400234100000000000000000000000000000000064 -S315400234200000000000000000000000000000000054 -S315400234300000000000000000000000000000000044 -S315400234400000000000000000000000000000000034 -S315400234500000000000000000000000000000000024 -S315400234600000000000000000000000000000000014 -S315400234700000000000000000000000000000000004 -S3154002348000000000000000000000000000000000F4 -S3154002349000000000000000000000000000000000E4 -S315400234A000000000000000000000000000000000D4 -S315400234B000000000000000000000000000000000C4 -S315400234C000000000000000000000000000000000B4 -S315400234D000000000000000000000000000000000A4 -S315400234E00000000000000000000000000000000094 -S315400234F00000000000000000000000000000000084 -S315400235000000000000000000000000000000000073 -S315400235100000000000000000000000000000000063 -S315400235200000000000000000000000000000000053 -S315400235300000000000000000000000000000000043 -S315400235400000000000000000000000000000000033 -S315400235500000000000000000000000000000000023 -S315400235600000000000000000000000000000000013 -S315400235700000000000000000000000000000000003 -S3154002358000000000000000000000000000000000F3 -S3154002359000000000000000000000000000000000E3 -S315400235A000000000000000000000000000000000D3 -S315400235B000000000000000000000000000000000C3 -S315400235C000000000000000000000000000000000B3 -S315400235D000000000000000000000000000000000A3 -S315400235E00000000000000000000000000000000093 -S315400235F00000000000000000000000000000000083 -S315400236000000000000000000000000000000000072 -S315400236100000000000000000000000000000000062 -S315400236200000000000000000000000000000000052 -S315400236300000000000000000000000000000000042 -S315400236400000000000000000000000000000000032 -S315400236500000000000000000000000000000000022 -S315400236600000000000000000000000000000000012 -S315400236700000000000000000000000000000000002 -S3154002368000000000000000000000000000000000F2 -S3154002369000000000000000000000000000000000E2 -S315400236A000000000000000000000000000000000D2 -S315400236B000000000000000000000000000000000C2 -S315400236C000000000000000000000000000000000B2 -S315400236D000000000000000000000000000000000A2 -S315400236E00000000000000000000000000000000092 -S315400236F00000000000000000000000000000000082 -S315400237000000000000000000000000000000000071 -S315400237100000000000000000000000000000000061 -S315400237200000000000000000000000000000000051 -S315400237300000000000000000000000000000000041 -S315400237400000000000000000000000000000000031 -S315400237500000000000000000000000000000000021 -S315400237600000000000000000000000000000000011 -S315400237700000000000000000000000000000000001 -S3154002378000000000000000000000000000000000F1 -S3154002379000000000000000000000000000000000E1 -S315400237A000000000000000000000000000000000D1 -S315400237B000000000000000000000000000000000C1 -S315400237C000000000000000000000000000000000B1 -S315400237D000000000000000000000000000000000A1 -S315400237E00000000000000000000000000000000091 -S315400237F00000000000000000000000000000000081 -S315400238000000000000000000000000000000000070 -S315400238100000000000000000000000000000000060 -S315400238200000000000000000000000000000000050 -S315400238300000000000000000000000000000000040 -S315400238400000000000000000000000000000000030 -S315400238500000000000000000000000000000000020 -S315400238600000000000000000000000000000000010 -S315400238700000000000000000000000000000000000 -S3154002388000000000000000000000000000000000F0 -S3154002389000000000000000000000000000000000E0 -S315400238A000000000000000000000000000000000D0 -S315400238B000000000000000000000000000000000C0 -S315400238C000000000000000000000000000000000B0 -S315400238D000000000000000000000000000000000A0 -S315400238E00000000000000000000000000000000090 -S315400238F00000000000000000000000000000000080 -S31540023900000000000000000000000000000000006F -S31540023910000000000000000000000000000000005F -S31540023920000000000000000000000000000000004F -S31540023930000000000000000000000000000000003F -S31540023940000000000000000000000000000000002F -S31540023950000000000000000000000000000000001F -S31540023960000000000000000000000000000000000F -S3154002397000000000000000000000000000000000FF -S3154002398000000000000000000000000000000000EF -S3154002399000000000000000000000000000000000DF -S315400239A000000000000000000000000000000000CF -S315400239B000000000000000000000000000000000BF -S315400239C000000000000000000000000000000000AF -S315400239D0000000000000000000000000000000009F -S315400239E0000000000000000000000000000000008F -S315400239F0000000000000000000000000000000007F -S31540023A00000000000000000000000000000000006E -S31540023A10000000000000000000000000000000005E -S31540023A20000000000000000000000000000000004E -S31540023A30000000000000000000000000000000003E -S31540023A40000000000000000000000000000000002E -S31540023A50000000000000000000000000000000001E -S31540023A60000000000000000000000000000000000E -S31540023A7000000000000000000000000000000000FE -S31540023A8000000000000000000000000000000000EE -S31540023A9000000000000000000000000000000000DE -S31540023AA000000000000000000000000000000000CE -S31540023AB000000000000000000000000000000000BE -S31540023AC000000000000000000000000000000000AE -S31540023AD0000000000000000000000000000000009E -S31540023AE0000000000000000000000000000000008E -S31540023AF0000000000000000000000000000000007E -S31540023B00000000000000000000000000000000006D -S31540023B10000000000000000000000000000000005D -S31540023B20000000000000000000000000000000004D -S31540023B30000000000000000000000000000000003D -S31540023B40000000000000000000000000000000002D -S31540023B50000000000000000000000000000000001D -S31540023B60000000000000000000000000000000000D -S31540023B7000000000000000000000000000000000FD -S31540023B8000000000000000000000000000000000ED -S31540023B9000000000000000000000000000000000DD -S31540023BA000000000000000000000000000000000CD -S31540023BB000000000000000000000000000000000BD -S31540023BC000000000000000000000000000000000AD -S31540023BD0000000000000000000000000000000009D -S31540023BE0000000000000000000000000000000008D -S31540023BF0000000000000000000000000000000007D -S31540023C00000000000000000000000000000000006C -S31540023C10000000000000000000000000000000005C -S31540023C20000000000000000000000000000000004C -S31540023C30000000000000000000000000000000003C -S31540023C40000000000000000000000000000000002C -S31540023C50000000000000000000000000000000001C -S31540023C60000000000000000000000000000000000C -S31540023C7000000000000000000000000000000000FC -S31540023C8000000000000000000000000000000000EC -S31540023C9000000000000000000000000000000000DC -S31540023CA000000000000000000000000000000000CC -S31540023CB000000000000000000000000000000000BC -S31540023CC000000000000000000000000000000000AC -S31540023CD0000000000000000000000000000000009C -S31540023CE0000000000000000000000000000000008C -S31540023CF0000000000000000000000000000000007C -S31540023D00000000000000000000000000000000006B -S31540023D10000000000000000000000000000000005B -S31540023D20000000000000000000000000000000004B -S31540023D30000000000000000000000000000000003B -S31540023D40000000000000000000000000000000002B -S31540023D50000000000000000000000000000000001B -S31540023D60000000000000000000000000000000000B -S31540023D7000000000000000000000000000000000FB -S31540023D8000000000000000000000000000000000EB -S31540023D9000000000000000000000000000000000DB -S31540023DA000000000000000000000000000000000CB -S31540023DB000000000000000000000000000000000BB -S31540023DC000000000000000000000000000000000AB -S31540023DD0000000000000000000000000000000009B -S31540023DE0000000000000000000000000000000008B -S31540023DF0000000000000000000000000000000007B -S31540023E00000000000000000000000000000000006A -S31540023E10000000000000000000000000000000005A -S31540023E20000000000000000000000000000000004A -S31540023E30000000000000000000000000000000003A -S31540023E40000000000000000000000000000000002A -S31540023E50000000000000000000000000000000001A -S31540023E60000000000000000000000000000000000A -S31540023E7000000000000000000000000000000000FA -S31540023E8000000000000000000000000000000000EA -S31540023E9000000000000000000000000000000000DA -S31540023EA000000000000000000000000000000000CA -S31540023EB000000000000000000000000000000000BA -S31540023EC000000000000000000000000000000000AA -S31540023ED0000000000000000000000000000000009A -S31540023EE0000000000000000000000000000000008A -S31540023EF0000000000000000000000000000000007A -S31540023F000000000000000000000000000000000069 -S31540023F100000000000000000000000000000000059 -S31540023F200000000000000000000000000000000049 -S31540023F300000000000000000000000000000000039 -S31540023F400000000000000000000000000000000029 -S31540023F500000000000000000000000000000000019 -S31540023F600000000000000000000000000000000009 -S31540023F7000000000000000000000000000000000F9 -S31540023F8000000000000000000000000000000000E9 -S31540023F9000000000000000000000000000000000D9 -S31540023FA000000000000000000000000000000000C9 -S31540023FB000000000000000000000000000000000B9 -S31540023FC000000000000000000000000000000000A9 -S31540023FD00000000000000000000000000000000099 -S31540023FE00000000000000000000000000000000089 -S31540023FF00000000000000000000000000000000079 -S315400240000000000000000000000000000000000068 -S315400240100000000000000000000000000000000058 -S315400240200000000000000000000000000000000048 -S315400240300000000000000000000000000000000038 -S315400240400000000000000000000000000000000028 -S315400240500000000000000000000000000000000018 -S315400240600000000000000000000000000000000008 -S3154002407000000000000000000000000000000000F8 -S3154002408000000000000000000000000000000000E8 -S3154002409000000000000000000000000000000000D8 -S315400240A000000000000000000000000000000000C8 -S315400240B000000000000000000000000000000000B8 -S315400240C000000000000000000000000000000000A8 -S315400240D00000000000000000000000000000000098 -S315400240E00000000000000000000000000000000088 -S315400240F00000000000000000000000000000000078 -S315400241000000000000000000000000000000000067 -S315400241100000000000000000000000000000000057 -S315400241200000000000000000000000000000000047 -S315400241300000000000000000000000000000000037 -S315400241400000000000000000000000000000000027 -S315400241500000000000000000000000000000000017 -S315400241600000000000000000000000000000000007 -S3154002417000000000000000000000000000000000F7 -S3154002418000000000000000000000000000000000E7 -S3154002419000000000000000000000000000000000D7 -S315400241A000000000000000000000000000000000C7 -S315400241B000000000000000000000000000000000B7 -S315400241C000000000000000000000000000000000A7 -S315400241D00000000000000000000000000000000097 -S315400241E00000000000000000000000000000000087 -S315400241F00000000000000000000000000000000077 -S315400242000000000000000000000000000000000066 -S315400242100000000000000000000000000000000056 -S315400242200000000000000000000000000000000046 -S315400242300000000000000000000000000000000036 -S315400242400000000000000000000000000000000026 -S315400242500000000000000000000000000000000016 -S315400242600000000000000000000000000000000006 -S3154002427000000000000000000000000000000000F6 -S3154002428000000000000000000000000000000000E6 -S3154002429000000000000000000000000000000000D6 -S315400242A000000000000000000000000000000000C6 -S315400242B000000000000000000000000000000000B6 -S315400242C000000000000000000000000000000000A6 -S315400242D00000000000000000000000000000000096 -S315400242E00000000000000000000000000000000086 -S315400242F00000000000000000000000000000000076 -S315400243000000000000000000000000000000000065 -S315400243100000000000000000000000000000000055 -S315400243200000000000000000000000000000000045 -S315400243300000000000000000000000000000000035 -S315400243400000000000000000000000000000000025 -S315400243500000000000000000000000000000000015 -S315400243600000000000000000000000000000000005 -S3154002437000000000000000000000000000000000F5 -S3154002438000000000000000000000000000000000E5 -S3154002439000000000000000000000000000000000D5 -S315400243A000000000000000000000000000000000C5 -S315400243B000000000000000000000000000000000B5 -S315400243C000000000000000000000000000000000A5 -S315400243D00000000000000000000000000000000095 -S315400243E00000000000000000000000000000000085 -S315400243F00000000000000000000000000000000075 -S315400244000000000000000000000000000000000064 -S315400244100000000000000000000000000000000054 -S315400244200000000000000000000000000000000044 -S315400244300000000000000000000000000000000034 -S315400244400000000000000000000000000000000024 -S315400244500000000000000000000000000000000014 -S315400244600000000000000000000000000000000004 -S3154002447000000000000000000000000000000000F4 -S3154002448000000000000000000000000000000000E4 -S3154002449000000000000000000000000000000000D4 -S315400244A000000000000000000000000000000000C4 -S315400244B000000000000000000000000000000000B4 -S315400244C000000000000000000000000000000000A4 -S315400244D00000000000000000000000000000000094 -S315400244E00000000000000000000000000000000084 -S315400244F00000000000000000000000000000000074 -S315400245000000000000000000000000000000000063 -S315400245100000000000000000000000000000000053 -S315400245200000000000000000000000000000000043 -S315400245300000000000000000000000000000000033 -S315400245400000000000000000000000000000000023 -S315400245500000000000000000000000000000000013 -S315400245600000000000000000000000000000000003 -S3154002457000000000000000000000000000000000F3 -S3154002458000000000000000000000000000000000E3 -S3154002459000000000000000000000000000000000D3 -S315400245A000000000000000000000000000000000C3 -S315400245B000000000000000000000000000000000B3 -S315400245C000000000000000000000000000000000A3 -S315400245D00000000000000000000000000000000093 -S315400245E00000000000000000000000000000000083 -S315400245F00000000000000000000000000000000073 -S315400246000000000000000000000000000000000062 -S315400246100000000000000000000000000000000052 -S315400246200000000000000000000000000000000042 -S315400246300000000000000000000000000000000032 -S315400246400000000000000000000000000000000022 -S315400246500000000000000000000000000000000012 -S315400246600000000000000000000000000000000002 -S3154002467000000000000000000000000000000000F2 -S3154002468000000000000000000000000000000000E2 -S3154002469000000000000000000000000000000000D2 -S315400246A000000000000000000000000000000000C2 -S315400246B000000000000000000000000000000000B2 -S315400246C000000000000000000000000000000000A2 -S315400246D00000000000000000000000000000000092 -S315400246E00000000000000000000000000000000082 -S315400246F00000000000000000000000000000000072 -S315400247000000000000000000000000000000000061 -S315400247100000000000000000000000000000000051 -S315400247200000000000000000000000000000000041 -S315400247300000000000000000000000000000000031 -S315400247400000000000000000000000000000000021 -S315400247500000000000000000000000000000000011 -S315400247600000000000000000000000000000000001 -S3154002477000000000000000000000000000000000F1 -S3154002478000000000000000000000000000000000E1 -S3154002479000000000000000000000000000000000D1 -S315400247A000000000000000000000000000000000C1 -S315400247B000000000000000000000000000000000B1 -S315400247C000000000000000000000000000000000A1 -S315400247D00000000000000000000000000000000091 -S315400247E00000000000000000000000000000000081 -S315400247F00000000000000000000000000000000071 -S315400248000000000000000000000000000000000060 -S315400248100000000000000000000000000000000050 -S315400248200000000000000000000000000000000040 -S315400248300000000000000000000000000000000030 -S315400248400000000000000000000000000000000020 -S315400248500000000000000000000000000000000010 -S315400248600000000000000000000000000000000000 -S3154002487000000000000000000000000000000000F0 -S3154002488000000000000000000000000000000000E0 -S3154002489000000000000000000000000000000000D0 -S315400248A000000000000000000000000000000000C0 -S315400248B000000000000000000000000000000000B0 -S315400248C000000000000000000000000000000000A0 -S315400248D00000000000000000000000000000000090 -S315400248E00000000000000000000000000000000080 -S315400248F00000000000000000000000000000000070 -S31540024900000000000000000000000000000000005F -S31540024910000000000000000000000000000000004F -S31540024920000000000000000000000000000000003F -S31540024930000000000000000000000000000000002F -S31540024940000000000000000000000000000000001F -S31540024950000000000000000000000000000000000F -S3154002496000000000000000000000000000000000FF -S3154002497000000000000000000000000000000000EF -S3154002498000000000000000000000000000000000DF -S3154002499000000000000000000000000000000000CF -S315400249A000000000000000000000000000000000BF -S315400249B000000000000000000000000000000000AF -S315400249C0000000000000000000000000000000009F -S315400249D0000000000000000000000000000000008F -S315400249E0000000000000000000000000000000007F -S315400249F0000000000000000000000000000000006F -S31540024A00000000000000000000000000000000005E -S31540024A10000000000000000000000000000000004E -S31540024A20000000000000000000000000000000003E -S31540024A30000000000000000000000000000000002E -S31540024A40000000000000000000000000000000001E -S31540024A50000000000000000000000000000000000E -S31540024A6000000000000000000000000000000000FE -S31540024A7000000000000000000000000000000000EE -S31540024A8000000000000000000000000000000000DE -S31540024A9000000000000000000000000000000000CE -S31540024AA000000000000000000000000000000000BE -S31540024AB000000000000000000000000000000000AE -S31540024AC0000000000000000000000000000000009E -S31540024AD0000000000000000000000000000000008E -S31540024AE0000000000000000000000000000000007E -S31540024AF0000000000000000000000000000000006E -S31540024B00000000000000000000000000000000005D -S31540024B10000000000000000000000000000000004D -S31540024B20000000000000000000000000000000003D -S31540024B30000000000000000000000000000000002D -S31540024B40000000000000000000000000000000001D -S31540024B50000000000000000000000000000000000D -S31540024B6000000000000000000000000000000000FD -S31540024B7000000000000000000000000000000000ED -S31540024B8000000000000000000000000000000000DD -S31540024B9000000000000000000000000000000000CD -S31540024BA000000000000000000000000000000000BD -S31540024BB000000000000000000000000000000000AD -S31540024BC0000000000000000000000000000000009D -S31540024BD0000000000000000000000000000000008D -S31540024BE0000000000000000000000000000000007D -S31540024BF0000000000000000000000000000000006D -S31540024C00000000000000000000000000000000005C -S31540024C10000000000000000000000000000000004C -S31540024C20000000000000000000000000000000003C -S31540024C30000000000000000000000000000000002C -S31540024C40000000000000000000000000000000001C -S31540024C50000000000000000000000000000000000C -S31540024C6000000000000000000000000000000000FC -S31540024C7000000000000000000000000000000000EC -S31540024C8000000000000000000000000000000000DC -S31540024C9000000000000000000000000000000000CC -S31540024CA000000000000000000000000000000000BC -S31540024CB000000000000000000000000000000000AC -S31540024CC0000000000000000000000000000000009C -S31540024CD0000000000000000000000000000000008C -S31540024CE0000000000000000000000000000000007C -S31540024CF0000000000000000000000000000000006C -S31540024D00000000000000000000000000000000005B -S31540024D10000000000000000000000000000000004B -S31540024D20000000000000000000000000000000003B -S31540024D30000000000000000000000000000000002B -S31540024D40000000000000000000000000000000001B -S31540024D50000000000000000000000000000000000B -S31540024D6000000000000000000000000000000000FB -S31540024D7000000000000000000000000000000000EB -S31540024D8000000000000000000000000000000000DB -S31540024D9000000000000000000000000000000000CB -S31540024DA000000000000000000000000000000000BB -S31540024DB000000000000000000000000000000000AB -S31540024DC0000000000000000000000000000000009B -S31540024DD0000000000000000000000000000000008B -S31540024DE0000000000000000000000000000000007B -S31540024DF0000000000000000000000000000000006B -S31540024E00000000000000000000000000000000005A -S31540024E10000000000000000000000000000000004A -S31540024E20000000000000000000000000000000003A -S31540024E30000000000000000000000000000000002A -S31540024E40000000000000000000000000000000001A -S31540024E50000000000000000000000000000000000A -S31540024E6000000000000000000000000000000000FA -S31540024E7000000000000000000000000000000000EA -S31540024E8000000000000000000000000000000000DA -S31540024E9000000000000000000000000000000000CA -S31540024EA000000000000000000000000000000000BA -S31540024EB000000000000000000000000000000000AA -S31540024EC0000000000000000000000000000000009A -S31540024ED0000000000000000000000000000000008A -S31540024EE0000000000000000000000000000000007A -S31540024EF0000000000000000000000000000000006A -S31540024F000000000000000000000000000000000059 -S31540024F100000000000000000000000000000000049 -S31540024F200000000000000000000000000000000039 -S31540024F300000000000000000000000000000000029 -S31540024F400000000000000000000000000000000019 -S31540024F500000000000000000000000000000000009 -S31540024F6000000000000000000000000000000000F9 -S31540024F7000000000000000000000000000000000E9 -S31540024F8000000000000000000000000000000000D9 -S31540024F9000000000000000000000000000000000C9 -S31540024FA000000000000000000000000000000000B9 -S31540024FB000000000000000000000000000000000A9 -S31540024FC00000000000000000000000000000000099 -S31540024FD00000000000000000000000000000000089 -S31540024FE00000000000000000000000000000000079 -S31540024FF00000000000000000000000000000000069 -S315400250000000000000000000000000000000000058 -S315400250100000000000000000000000000000000048 -S315400250200000000000000000000000000000000038 -S315400250300000000000000000000000000000000028 -S315400250400000000000000000000000000000000018 -S315400250500000000000000000000000000000000008 -S3154002506000000000000000000000000000000000F8 -S3154002507000000000000000000000000000000000E8 -S3154002508000000000000000000000000000000000D8 -S3154002509000000000000000000000000000000000C8 -S315400250A000000000000000000000000000000000B8 -S315400250B000000000000000000000000000000000A8 -S315400250C00000000000000000000000000000000098 -S315400250D00000000000000000000000000000000088 -S315400250E00000000000000000000000000000000078 -S315400250F00000000000000000000000000000000068 -S315400251000000000000000000000000000000000057 -S315400251100000000000000000000000000000000047 -S315400251200000000000000000000000000000000037 -S315400251300000000000000000000000000000000027 -S315400251400000000000000000000000000000000017 -S315400251500000000000000000000000000000000007 -S3154002516000000000000000000000000000000000F7 -S3154002517000000000000000000000000000000000E7 -S3154002518000000000000000000000000000000000D7 -S3154002519000000000000000000000000000000000C7 -S315400251A000000000000000000000000000000000B7 -S315400251B000000000000000000000000000000000A7 -S315400251C00000000000000000000000000000000097 -S315400251D00000000000000000000000000000000087 -S315400251E00000000000000000000000000000000077 -S315400251F00000000000000000000000000000000067 -S315400252000000000000000000000000000000000056 -S315400252100000000000000000000000000000000046 -S315400252200000000000000000000000000000000036 -S315400252300000000000000000000000000000000026 -S315400252400000000000000000000000000000000016 -S315400252500000000000000000000000000000000006 -S3154002526000000000000000000000000000000000F6 -S3154002527000000000000000000000000000000000E6 -S3154002528000000000000000000000000000000000D6 -S3154002529000000000000000000000000000000000C6 -S315400252A000000000000000000000000000000000B6 -S315400252B000000000000000000000000000000000A6 -S315400252C00000000000000000000000000000000096 -S315400252D00000000000000000000000000000000086 -S315400252E00000000000000000000000000000000076 -S315400252F00000000000000000000000000000000066 -S315400253000000000000000000000000000000000055 -S315400253100000000000000000000000000000000045 -S315400253200000000000000000000000000000000035 -S315400253300000000000000000000000000000000025 -S315400253400000000000000000000000000000000015 -S315400253500000000000000000000000000000000005 -S3154002536000000000000000000000000000000000F5 -S3154002537000000000000000000000000000000000E5 -S3154002538000000000000000000000000000000000D5 -S3154002539000000000000000000000000000000000C5 -S315400253A000000000000000000000000000000000B5 -S315400253B000000000000000000000000000000000A5 -S315400253C00000000000000000000000000000000095 -S315400253D00000000000000000000000000000000085 -S315400253E00000000000000000000000000000000075 -S315400253F00000000000000000000000000000000065 -S315400254000000000000000000000000000000000054 -S315400254100000000000000000000000000000000044 -S315400254200000000000000000000000000000000034 -S315400254300000000000000000000000000000000024 -S315400254400000000000000000000000000000000014 -S315400254500000000000000000000000000000000004 -S3154002546000000000000000000000000000000000F4 -S3154002547000000000000000000000000000000000E4 -S3154002548000000000000000000000000000000000D4 -S3154002549000000000000000000000000000000000C4 -S315400254A000000000000000000000000000000000B4 -S315400254B000000000000000000000000000000000A4 -S315400254C00000000000000000000000000000000094 -S315400254D00000000000000000000000000000000084 -S315400254E00000000000000000000000000000000074 -S315400254F00000000000000000000000000000000064 -S315400255000000000000000000000000000000000053 -S315400255100000000000000000000000000000000043 -S315400255200000000000000000000000000000000033 -S315400255300000000000000000000000000000000023 -S315400255400000000000000000000000000000000013 -S315400255500000000000000000000000000000000003 -S3154002556000000000000000000000000000000000F3 -S3154002557000000000000000000000000000000000E3 -S3154002558000000000000000000000000000000000D3 -S3154002559000000000000000000000000000000000C3 -S315400255A000000000000000000000000000000000B3 -S315400255B000000000000000000000000000000000A3 -S315400255C00000000000000000000000000000000093 -S315400255D00000000000000000000000000000000083 -S315400255E00000000000000000000000000000000073 -S315400255F00000000000000000000000000000000063 -S315400256000000000000000000000000000000000052 -S315400256100000000000000000000000000000000042 -S315400256200000000000000000000000000000000032 -S315400256300000000000000000000000000000000022 -S315400256400000000000000000000000000000000012 -S315400256500000000000000000000000000000000002 -S3154002566000000000000000000000000000000000F2 -S3154002567000000000000000000000000000000000E2 -S3154002568000000000000000000000000000000000D2 -S3154002569000000000000000000000000000000000C2 -S315400256A000000000000000000000000000000000B2 -S315400256B000000000000000000000000000000000A2 -S315400256C00000000000000000000000000000000092 -S315400256D00000000000000000000000000000000082 -S315400256E00000000000000000000000000000000072 -S315400256F00000000000000000000000000000000062 -S315400257000000000000000000000000000000000051 -S315400257100000000000000000000000000000000041 -S315400257200000000000000000000000000000000031 -S315400257300000000000000000000000000000000021 -S315400257400000000000000000000000000000000011 -S315400257500000000000000000000000000000000001 -S3154002576000000000000000000000000000000000F1 -S3154002577000000000000000000000000000000000E1 -S3154002578000000000000000000000000000000000D1 -S3154002579000000000000000000000000000000000C1 -S315400257A000000000000000000000000000000000B1 -S315400257B000000000000000000000000000000000A1 -S315400257C00000000000000000000000000000000091 -S315400257D00000000000000000000000000000000081 -S315400257E00000000000000000000000000000000071 -S315400257F00000000000000000000000000000000061 -S315400258000000000000000000000000000000000050 -S315400258100000000000000000000000000000000040 -S315400258200000000000000000000000000000000030 -S315400258300000000000000000000000000000000020 -S315400258400000000000000000000000000000000010 -S315400258500000000000000000000000000000000000 -S3154002586000000000000000000000000000000000F0 -S3154002587000000000000000000000000000000000E0 -S3154002588000000000000000000000000000000000D0 -S3154002589000000000000000000000000000000000C0 -S315400258A000000000000000000000000000000000B0 -S315400258B000000000000000000000000000000000A0 -S315400258C00000000000000000000000000000000090 -S315400258D00000000000000000000000000000000080 -S315400258E00000000000000000000000000000000070 -S315400258F00000000000000000000000000000000060 -S31540025900000000000000000000000000000000004F -S31540025910000000000000000000000000000000003F -S31540025920000000000000000000000000000000002F -S31540025930000000000000000000000000000000001F -S31540025940000000000000000000000000000000000F -S3154002595000000000000000000000000000000000FF -S3154002596000000000000000000000000000000000EF -S3154002597000000000000000000000000000000000DF -S3154002598000000000000000000000000000000000CF -S3154002599000000000000000000000000000000000BF -S315400259A000000000000000000000000000000000AF -S315400259B0000000000000000000000000000000009F -S315400259C0000000000000000000000000000000008F -S315400259D0000000000000000000000000000000007F -S315400259E0000000000000000000000000000000006F -S315400259F0000000000000000000000000000000005F -S31540025A00000000000000000000000000000000004E -S31540025A10000000000000000000000000000000003E -S31540025A20000000000000000000000000000000002E -S31540025A30000000000000000000000000000000001E -S31540025A40000000000000000000000000000000000E -S31540025A5000000000000000000000000000000000FE -S31540025A6000000000000000000000000000000000EE -S31540025A7000000000000000000000000000000000DE -S31540025A8000000000000000000000000000000000CE -S31540025A9000000000000000000000000000000000BE -S31540025AA000000000000000000000000000000000AE -S31540025AB0000000000000000000000000000000009E -S31540025AC0000000000000000000000000000000008E -S31540025AD0000000000000000000000000000000007E -S31540025AE0000000000000000000000000000000006E -S31540025AF0000000000000000000000000000000005E -S31540025B00000000000000000000000000000000004D -S31540025B10000000000000000000000000000000003D -S31540025B20000000000000000000000000000000002D -S31540025B30000000000000000000000000000000001D -S31540025B40000000000000000000000000000000000D -S31540025B5000000000000000000000000000000000FD -S31540025B6000000000000000000000000000000000ED -S31540025B7000000000000000000000000000000000DD -S31540025B8000000000000000000000000000000000CD -S31540025B9000000000000000000000000000000000BD -S31540025BA000000000000000000000000000000000AD -S31540025BB0000000000000000000000000000000009D -S31540025BC0000000000000000000000000000000008D -S31540025BD0000000000000000000000000000000007D -S31540025BE0000000000000000000000000000000006D -S31540025BF0000000000000000000000000000000005D -S31540025C00000000000000000000000000000000004C -S31540025C10000000000000000000000000000000003C -S31540025C20000000000000000000000000000000002C -S31540025C30000000000000000000000000000000001C -S31540025C40000000000000000000000000000000000C -S31540025C5000000000000000000000000000000000FC -S31540025C6000000000000000000000000000000000EC -S31540025C7000000000000000000000000000000000DC -S31540025C8000000000000000000000000000000000CC -S31540025C9000000000000000000000000000000000BC -S31540025CA000000000000000000000000000000000AC -S31540025CB0000000000000000000000000000000009C -S31540025CC0000000000000000000000000000000008C -S31540025CD0000000000000000000000000000000007C -S31540025CE0000000000000000000000000000000006C -S31540025CF0000000000000000000000000000000005C -S31540025D00000000000000000000000000000000004B -S31540025D10000000000000000000000000000000003B -S31540025D20000000000000000000000000000000002B -S31540025D30000000000000000000000000000000001B -S31540025D40000000000000000000000000000000000B -S31540025D5000000000000000000000000000000000FB -S31540025D6000000000000000000000000000000000EB -S31540025D7000000000000000000000000000000000DB -S31540025D8000000000000000000000000000000000CB -S31540025D9000000000000000000000000000000000BB -S31540025DA000000000000000000000000000000000AB -S31540025DB0000000000000000000000000000000009B -S31540025DC0000000000000000000000000000000008B -S31540025DD0000000000000000000000000000000007B -S31540025DE0000000000000000000000000000000006B -S31540025DF0000000000000000000000000000000005B -S31540025E00000000000000000000000000000000004A -S31540025E10000000000000000000000000000000003A -S31540025E20000000000000000000000000000000002A -S31540025E30000000000000000000000000000000001A -S31540025E40000000000000000000000000000000000A -S31540025E5000000000000000000000000000000000FA -S31540025E6000000000000000000000000000000000EA -S31540025E7000000000000000000000000000000000DA -S31540025E8000000000000000000000000000000000CA -S31540025E9000000000000000000000000000000000BA -S31540025EA000000000000000000000000000000000AA -S31540025EB0000000000000000000000000000000009A -S31540025EC0000000000000000000000000000000008A -S31540025ED0000000000000000000000000000000007A -S31540025EE0000000000000000000000000000000006A -S31540025EF0000000000000000000000000000000005A -S31540025F000000000000000000000000000000000049 -S31540025F100000000000000000000000000000000039 -S31540025F200000000000000000000000000000000029 -S31540025F300000000000000000000000000000000019 -S31540025F400000000000000000000000000000000009 -S31540025F5000000000000000000000000000000000F9 -S31540025F6000000000000000000000000000000000E9 -S31540025F7000000000000000000000000000000000D9 -S31540025F8000000000000000000000000000000000C9 -S31540025F9000000000000000000000000000000000B9 -S31540025FA000000000000000000000000000000000A9 -S31540025FB00000000000000000000000000000000099 -S31540025FC00000000000000000000000000000000089 -S31540025FD00000000000000000000000000000000079 -S31540025FE00000000000000000000000000000000069 -S31540025FF00000000000000000000000000000000059 -S315400260000000000000000000000000000000000048 -S315400260100000000000000000000000000000000038 -S315400260200000000000000000000000000000000028 -S315400260300000000000000000000000000000000018 -S315400260400000000000000000000000000000000008 -S3154002605000000000000000000000000000000000F8 -S3154002606000000000000000000000000000000000E8 -S3154002607000000000000000000000000000000000D8 -S3154002608000000000000000000000000000000000C8 -S3154002609000000000000000000000000000000000B8 -S315400260A000000000000000000000000000000000A8 -S315400260B00000000000000000000000000000000098 -S315400260C00000000000000000000000000000000088 -S315400260D00000000000000000000000000000000078 -S315400260E00000000000000000000000000000000068 -S315400260F00000000000000000000000000000000058 -S315400261000000000000000000000000000000000047 -S315400261100000000000000000000000000000000037 -S315400261200000000000000000000000000000000027 -S315400261300000000000000000000000000000000017 -S315400261400000000000000000000000000000000007 -S3154002615000000000000000000000000000000000F7 -S3154002616000000000000000000000000000000000E7 -S3154002617000000000000000000000000000000000D7 -S3154002618000000000000000000000000000000000C7 -S3154002619000000000000000000000000000000000B7 -S315400261A000000000000000000000000000000000A7 -S315400261B00000000000000000000000000000000097 -S315400261C00000000000000000000000000000000087 -S315400261D00000000000000000000000000000000077 -S315400261E00000000000000000000000000000000067 -S315400261F00000000000000000000000000000000057 -S315400262000000000000000000000000000000000046 -S315400262100000000000000000000000000000000036 -S315400262200000000000000000000000000000000026 -S315400262300000000000000000000000000000000016 -S315400262400000000000000000000000000000000006 -S3154002625000000000000000000000000000000000F6 -S3154002626000000000000000000000000000000000E6 -S3154002627000000000000000000000000000000000D6 -S3154002628000000000000000000000000000000000C6 -S3154002629000000000000000000000000000000000B6 -S315400262A000000000000000000000000000000000A6 -S315400262B00000000000000000000000000000000096 -S315400262C00000000000000000000000000000000086 -S315400262D00000000000000000000000000000000076 -S315400262E00000000000000000000000000000000066 -S315400262F00000000000000000000000000000000056 -S315400263000000000000000000000000000000000045 -S315400263100000000000000000000000000000000035 -S315400263200000000000000000000000000000000025 -S315400263300000000000000000000000000000000015 -S315400263400000000000000000000000000000000005 -S3154002635000000000000000000000000000000000F5 -S3154002636000000000000000000000000000000000E5 -S3154002637000000000000000000000000000000000D5 -S3154002638000000000000000000000000000000000C5 -S3154002639000000000000000000000000000000000B5 -S315400263A000000000000000000000000000000000A5 -S315400263B00000000000000000000000000000000095 -S315400263C00000000000000000000000000000000085 -S315400263D00000000000000000000000000000000075 -S315400263E00000000000000000000000000000000065 -S315400263F00000000000000000000000000000000055 -S315400264000000000000000000000000000000000044 -S315400264100000000000000000000000000000000034 -S315400264200000000000000000000000000000000024 -S315400264300000000000000000000000000000000014 -S315400264400000000000000000000000000000000004 -S3154002645000000000000000000000000000000000F4 -S3154002646000000000000000000000000000000000E4 -S3154002647000000000000000000000000000000000D4 -S3154002648000000000000000000000000000000000C4 -S3154002649000000000000000000000000000000000B4 -S315400264A000000000000000000000000000000000A4 -S315400264B00000000000000000000000000000000094 -S315400264C00000000000000000000000000000000084 -S315400264D00000000000000000000000000000000074 -S315400264E00000000000000000000000000000000064 -S315400264F00000000000000000000000000000000054 -S315400265000000000000000000000000000000000043 -S315400265100000000000000000000000000000000033 -S315400265200000000000000000000000000000000023 -S315400265300000000000000000000000000000000013 -S315400265400000000000000000000000000000000003 -S3154002655000000000000000000000000000000000F3 -S3154002656000000000000000000000000000000000E3 -S3154002657000000000000000000000000000000000D3 -S3154002658000000000000000000000000000000000C3 -S3154002659000000000000000000000000000000000B3 -S315400265A000000000000000000000000000000000A3 -S315400265B00000000000000000000000000000000093 -S315400265C00000000000000000000000000000000083 -S315400265D00000000000000000000000000000000073 -S315400265E00000000000000000000000000000000063 -S315400265F00000000000000000000000000000000053 -S315400266000000000000000000000000000000000042 -S315400266100000000000000000000000000000000032 -S315400266200000000000000000000000000000000022 -S315400266300000000000000000000000000000000012 -S315400266400000000000000000000000000000000002 -S3154002665000000000000000000000000000000000F2 -S3154002666000000000000000000000000000000000E2 -S3154002667000000000000000000000000000000000D2 -S3154002668000000000000000000000000000000000C2 -S3154002669000000000000000000000000000000000B2 -S315400266A000000000000000000000000000000000A2 -S315400266B00000000000000000000000000000000092 -S315400266C00000000000000000000000000000000082 -S315400266D00000000000000000000000000000000072 -S315400266E00000000000000000000000000000000062 -S315400266F00000000000000000000000000000000052 -S315400267000000000000000000000000000000000041 -S315400267100000000000000000000000000000000031 -S315400267200000000000000000000000000000000021 -S315400267300000000000000000000000000000000011 -S315400267400000000000000000000000000000000001 -S3154002675000000000000000000000000000000000F1 -S3154002676000000000000000000000000000000000E1 -S3154002677000000000000000000000000000000000D1 -S3154002678000000000000000000000000000000000C1 -S3154002679000000000000000000000000000000000B1 -S315400267A000000000000000000000000000000000A1 -S315400267B00000000000000000000000000000000091 -S315400267C00000000000000000000000000000000081 -S315400267D00000000000000000000000000000000071 -S315400267E00000000000000000000000000000000061 -S315400267F00000000000000000000000000000000051 -S315400268000000000000000000000000000000000040 -S315400268100000000000000000000000000000000030 -S315400268200000000000000000000000000000000020 -S315400268300000000000000000000000000000000010 -S315400268400000000000000000000000000000000000 -S3154002685000000000000000000000000000000000F0 -S3154002686000000000000000000000000000000000E0 -S3154002687000000000000000000000000000000000D0 -S3154002688000000000000000000000000000000000C0 -S3154002689000000000000000000000000000000000B0 -S315400268A000000000000000000000000000000000A0 -S315400268B00000000000000000000000000000000090 -S315400268C00000000000000000000000000000000080 -S315400268D00000000000000000000000000000000070 -S315400268E00000000000000000000000000000000060 -S315400268F00000000000000000000000000000000050 -S31540026900000000000000000000000000000000003F -S31540026910000000000000000000000000000000002F -S31540026920000000000000000000000000000000001F -S31540026930000000000000000000000000000000000F -S3154002694000000000000000000000000000000000FF -S3154002695000000000000000000000000000000000EF -S3154002696000000000000000000000000000000000DF -S3154002697000000000000000000000000000000000CF -S3154002698000000000000000000000000000000000BF -S3154002699000000000000000000000000000000000AF -S315400269A0000000000000000000000000000000009F -S315400269B0000000000000000000000000000000008F -S315400269C0000000000000000000000000000000007F -S315400269D0000000000000000000000000000000006F -S315400269E0000000000000000000000000000000005F -S315400269F0000000000000000000000000000000004F -S31540026A00000000000000000000000000000000003E -S31540026A10000000000000000000000000000000002E -S31540026A20000000000000000000000000000000001E -S31540026A30000000000000000000000000000000000E -S31540026A4000000000000000000000000000000000FE -S31540026A5000000000000000000000000000000000EE -S31540026A6000000000000000000000000000000000DE -S31540026A7000000000000000000000000000000000CE -S31540026A8000000000000000000000000000000000BE -S31540026A9000000000000000000000000000000000AE -S31540026AA0000000000000000000000000000000009E -S31540026AB0000000000000000000000000000000008E -S31540026AC0000000000000000000000000000000007E -S31540026AD0000000000000000000000000000000006E -S31540026AE0000000000000000000000000000000005E -S31540026AF0000000000000000000000000000000004E -S31540026B00000000000000000000000000000000003D -S31540026B10000000000000000000000000000000002D -S31540026B20000000000000000000000000000000001D -S31540026B30000000000000000000000000000000000D -S31540026B4000000000000000000000000000000000FD -S31540026B5000000000000000000000000000000000ED -S31540026B6000000000000000000000000000000000DD -S31540026B7000000000000000000000000000000000CD -S31540026B8000000000000000000000000000000000BD -S31540026B9000000000000000000000000000000000AD -S31540026BA0000000000000000000000000000000009D -S31540026BB0000000000000000000000000000000008D -S31540026BC0000000000000000000000000000000007D -S31540026BD0000000000000000000000000000000006D -S31540026BE0000000000000000000000000000000005D -S31540026BF0000000000000000000000000000000004D -S31540026C00000000000000000000000000000000003C -S31540026C10000000000000000000000000000000002C -S31540026C20000000000000000000000000000000001C -S31540026C30000000000000000000000000000000000C -S31540026C4000000000000000000000000000000000FC -S31540026C5000000000000000000000000000000000EC -S31540026C6000000000000000000000000000000000DC -S31540026C7000000000000000000000000000000000CC -S31540026C8000000000000000000000000000000000BC -S31540026C9000000000000000000000000000000000AC -S31540026CA0000000000000000000000000000000009C -S31540026CB0000000000000000000000000000000008C -S31540026CC0000000000000000000000000000000007C -S31540026CD0000000000000000000000000000000006C -S31540026CE0000000000000000000000000000000005C -S31540026CF0000000000000000000000000000000004C -S31540026D00000000000000000000000000000000003B -S31540026D10000000000000000000000000000000002B -S31540026D20000000000000000000000000000000001B -S31540026D30000000000000000000000000000000000B -S31540026D4000000000000000000000000000000000FB -S31540026D5000000000000000000000000000000000EB -S31540026D6000000000000000000000000000000000DB -S31540026D7000000000000000000000000000000000CB -S31540026D8000000000000000000000000000000000BB -S31540026D9000000000000000000000000000000000AB -S31540026DA0000000000000000000000000000000009B -S31540026DB0000000000000000000000000000000008B -S31540026DC0000000000000000000000000000000007B -S31540026DD0000000000000000000000000000000006B -S31540026DE0000000000000000000000000000000005B -S31540026DF0000000000000000000000000000000004B -S31540026E00000000000000000000000000000000003A -S31540026E10000000000000000000000000000000002A -S31540026E20000000000000000000000000000000001A -S31540026E30000000000000000000000000000000000A -S31540026E4000000000000000000000000000000000FA -S31540026E5000000000000000000000000000000000EA -S31540026E6000000000000000000000000000000000DA -S31540026E7000000000000000000000000000000000CA -S31540026E8000000000000000000000000000000000BA -S31540026E9000000000000000000000000000000000AA -S31540026EA0000000000000000000000000000000009A -S31540026EB0000000000000000000000000000000008A -S31540026EC0000000000000000000000000000000007A -S31540026ED0000000000000000000000000000000006A -S31540026EE0000000000000000000000000000000005A -S31540026EF0000000000000000000000000000000004A -S31540026F000000000000000000000000000000000039 -S31540026F100000000000000000000000000000000029 -S31540026F200000000000000000000000000000000019 -S31540026F300000000000000000000000000000000009 -S31540026F4000000000000000000000000000000000F9 -S31540026F5000000000000000000000000000000000E9 -S31540026F6000000000000000000000000000000000D9 -S31540026F7000000000000000000000000000000000C9 -S31540026F8000000000000000000000000000000000B9 -S31540026F9000000000000000000000000000000000A9 -S31540026FA00000000000000000000000000000000099 -S31540026FB00000000000000000000000000000000089 -S31540026FC00000000000000000000000000000000079 -S31540026FD00000000000000000000000000000000069 -S31540026FE00000000000000000000000000000000059 -S31540026FF00000000000000000000000000000000049 -S315400270000000000000000000000000000000000038 -S315400270100000000000000000000000000000000028 -S315400270200000000000000000000000000000000018 -S315400270300000000000000000000000000000000008 -S3154002704000000000000000000000000000000000F8 -S3154002705000000000000000000000000000000000E8 -S3154002706000000000000000000000000000000000D8 -S3154002707000000000000000000000000000000000C8 -S3154002708000000000000000000000000000000000B8 -S3154002709000000000000000000000000000000000A8 -S315400270A00000000000000000000000000000000098 -S315400270B00000000000000000000000000000000088 -S315400270C00000000000000000000000000000000078 -S315400270D00000000000000000000000000000000068 -S315400270E00000000000000000000000000000000058 -S315400270F00000000000000000000000000000000048 -S315400271000000000000000000000000000000000037 -S315400271100000000000000000000000000000000027 -S315400271200000000000000000000000000000000017 -S315400271300000000000000000000000000000000007 -S3154002714000000000000000000000000000000000F7 -S3154002715000000000000000000000000000000000E7 -S3154002716000000000000000000000000000000000D7 -S3154002717000000000000000000000000000000000C7 -S3154002718000000000000000000000000000000000B7 -S3154002719000000000000000000000000000000000A7 -S315400271A00000000000000000000000000000000097 -S315400271B00000000000000000000000000000000087 -S315400271C00000000000000000000000000000000077 -S315400271D00000000000000000000000000000000067 -S315400271E00000000000000000000000000000000057 -S315400271F00000000000000000000000000000000047 -S315400272000000000000000000000000000000000036 -S315400272100000000000000000000000000000000026 -S315400272200000000000000000000000000000000016 -S315400272300000000000000000000000000000000006 -S3154002724000000000000000000000000000000000F6 -S3154002725000000000000000000000000000000000E6 -S3154002726000000000000000000000000000000000D6 -S3154002727000000000000000000000000000000000C6 -S3154002728000000000000000000000000000000000B6 -S3154002729000000000000000000000000000000000A6 -S315400272A00000000000000000000000000000000096 -S315400272B00000000000000000000000000000000086 -S315400272C00000000000000000000000000000000076 -S315400272D00000000000000000000000000000000066 -S315400272E00000000000000000000000000000000056 -S315400272F00000000000000000000000000000000046 -S315400273000000000000000000000000000000000035 -S315400273100000000000000000000000000000000025 -S315400273200000000000000000000000000000000015 -S315400273300000000000000000000000000000000005 -S3154002734000000000000000000000000000000000F5 -S3154002735000000000000000000000000000000000E5 -S3154002736000000000000000000000000000000000D5 -S3154002737000000000000000000000000000000000C5 -S3154002738000000000000000000000000000000000B5 -S3154002739000000000000000000000000000000000A5 -S315400273A00000000000000000000000000000000095 -S315400273B00000000000000000000000000000000085 -S315400273C00000000000000000000000000000000075 -S315400273D00000000000000000000000000000000065 -S315400273E00000000000000000000000000000000055 -S315400273F00000000000000000000000000000000045 -S315400274000000000000000000000000000000000034 -S315400274100000000000000000000000000000000024 -S315400274200000000000000000000000000000000014 -S315400274300000000000000000000000000000000004 -S3154002744000000000000000000000000000000000F4 -S3154002745000000000000000000000000000000000E4 -S3154002746000000000000000000000000000000000D4 -S3154002747000000000000000000000000000000000C4 -S3154002748000000000000000000000000000000000B4 -S3154002749000000000000000000000000000000000A4 -S315400274A00000000000000000000000000000000094 -S315400274B00000000000000000000000000000000084 -S315400274C00000000000000000000000000000000074 -S315400274D00000000000000000000000000000000064 -S315400274E00000000000000000000000000000000054 -S315400274F00000000000000000000000000000000044 -S315400275000000000000000000000000000000000033 -S315400275100000000000000000000000000000000023 -S315400275200000000000000000000000000000000013 -S315400275300000000000000000000000000000000003 -S3154002754000000000000000000000000000000000F3 -S3154002755000000000000000000000000000000000E3 -S3154002756000000000000000000000000000000000D3 -S3154002757000000000000000000000000000000000C3 -S3154002758000000000000000000000000000000000B3 -S3154002759000000000000000000000000000000000A3 -S315400275A00000000000000000000000000000000093 -S315400275B00000000000000000000000000000000083 -S315400275C00000000000000000000000000000000073 -S315400275D00000000000000000000000000000000063 -S315400275E00000000000000000000000000000000053 -S315400275F00000000000000000000000000000000043 -S315400276000000000000000000000000000000000032 -S315400276100000000000000000000000000000000022 -S315400276200000000000000000000000000000000012 -S315400276300000000000000000000000000000000002 -S3154002764000000000000000000000000000000000F2 -S3154002765000000000000000000000000000000000E2 -S3154002766000000000000000000000000000000000D2 -S3154002767000000000000000000000000000000000C2 -S3154002768000000000000000000000000000000000B2 -S3154002769000000000000000000000000000000000A2 -S315400276A00000000000000000000000000000000092 -S315400276B00000000000000000000000000000000082 -S315400276C00000000000000000000000000000000072 -S315400276D00000000000000000000000000000000062 -S315400276E00000000000000000000000000000000052 -S315400276F00000000000000000000000000000000042 -S315400277000000000000000000000000000000000031 -S315400277100000000000000000000000000000000021 -S315400277200000000000000000000000000000000011 -S315400277300000000000000000000000000000000001 -S3154002774000000000000000000000000000000000F1 -S3154002775000000000000000000000000000000000E1 -S3154002776000000000000000000000000000000000D1 -S3154002777000000000000000000000000000000000C1 -S3154002778000000000000000000000000000000000B1 -S3154002779000000000000000000000000000000000A1 -S315400277A00000000000000000000000000000000091 -S315400277B00000000000000000000000000000000081 -S315400277C00000000000000000000000000000000071 -S315400277D00000000000000000000000000000000061 -S315400277E00000000000000000000000000000000051 -S315400277F00000000000000000000000000000000041 -S315400278000000000000000000000000000000000030 -S315400278100000000000000000000000000000000020 -S315400278200000000000000000000000000000000010 -S315400278300000000000000000000000000000000000 -S3154002784000000000000000000000000000000000F0 -S3154002785000000000000000000000000000000000E0 -S3154002786000000000000000000000000000000000D0 -S3154002787000000000000000000000000000000000C0 -S3154002788000000000000000000000000000000000B0 -S3154002789000000000000000000000000000000000A0 -S315400278A00000000000000000000000000000000090 -S315400278B00000000000000000000000000000000080 -S315400278C00000000000000000000000000000000070 -S315400278D00000000000000000000000000000000060 -S315400278E00000000000000000000000000000000050 -S315400278F00000000000000000000000000000000040 -S31540027900000000000000000000000000000000002F -S31540027910000000000000000000000000000000001F -S31540027920000000000000000000000000000000000F -S3154002793000000000000000000000000000000000FF -S3154002794000000000000000000000000000000000EF -S3154002795000000000000000000000000000000000DF -S3154002796000000000000000000000000000000000CF -S3154002797000000000000000000000000000000000BF -S3154002798000000000000000000000000000000000AF -S31540027990000000000000000000000000000000009F -S315400279A0000000000000000000000000000000008F -S315400279B0000000000000000000000000000000007F -S315400279C0000000000000000000000000000000006F -S315400279D0000000000000000000000000000000005F -S315400279E0000000000000000000000000000000004F -S315400279F0000000000000000000000000000000003F -S31540027A00000000000000000000000000000000002E -S31540027A10000000000000000000000000000000001E -S31540027A20000000000000000000000000000000000E -S31540027A3000000000000000000000000000000000FE -S31540027A4000000000000000000000000000000000EE -S31540027A5000000000000000000000000000000000DE -S31540027A6000000000000000000000000000000000CE -S31540027A7000000000000000000000000000000000BE -S31540027A8000000000000000000000000000000000AE -S31540027A90000000000000000000000000000000009E -S31540027AA0000000000000000000000000000000008E -S31540027AB0000000000000000000000000000000007E -S31540027AC0000000000000000000000000000000006E -S31540027AD0000000000000000000000000000000005E -S31540027AE0000000000000000000000000000000004E -S31540027AF0000000000000000000000000000000003E -S31540027B00000000000000000000000000000000002D -S31540027B10000000000000000000000000000000001D -S31540027B20000000000000000000000000000000000D -S31540027B3000000000000000000000000000000000FD -S31540027B4000000000000000000000000000000000ED -S31540027B5000000000000000000000000000000000DD -S31540027B6000000000000000000000000000000000CD -S31540027B7000000000000000000000000000000000BD -S31540027B8000000000000000000000000000000000AD -S31540027B90000000000000000000000000000000009D -S31540027BA0000000000000000000000000000000008D -S31540027BB0000000000000000000000000000000007D -S31540027BC0000000000000000000000000000000006D -S31540027BD0000000000000000000000000000000005D -S31540027BE0000000000000000000000000000000004D -S31540027BF0000000000000000000000000000000003D -S31540027C00000000000000000000000000000000002C -S31540027C10000000000000000000000000000000001C -S31540027C20000000000000000000000000000000000C -S31540027C3000000000000000000000000000000000FC -S31540027C4000000000000000000000000000000000EC -S31540027C5000000000000000000000000000000000DC -S31540027C6000000000000000000000000000000000CC -S31540027C7000000000000000000000000000000000BC -S31540027C8000000000000000000000000000000000AC -S31540027C90000000000000000000000000000000009C -S31540027CA0000000000000000000000000000000008C -S31540027CB0000000000000000000000000000000007C -S31540027CC0000000000000000000000000000000006C -S31540027CD0000000000000000000000000000000005C -S31540027CE0000000000000000000000000000000004C -S31540027CF0000000000000000000000000000000003C -S31540027D00000000000000000000000000000000002B -S31540027D10000000000000000000000000000000001B -S31540027D20000000000000000000000000000000000B -S31540027D3000000000000000000000000000000000FB -S31540027D4000000000000000000000000000000000EB -S31540027D5000000000000000000000000000000000DB -S31540027D6000000000000000000000000000000000CB -S31540027D7000000000000000000000000000000000BB -S31540027D8000000000000000000000000000000000AB -S31540027D90000000000000000000000000000000009B -S31540027DA0000000000000000000000000000000008B -S31540027DB0000000000000000000000000000000007B -S31540027DC0000000000000000000000000000000006B -S31540027DD0000000000000000000000000000000005B -S31540027DE0000000000000000000000000000000004B -S31540027DF0000000000000000000000000000000003B -S31540027E00000000000000000000000000000000002A -S31540027E10000000000000000000000000000000001A -S31540027E20000000000000000000000000000000000A -S31540027E3000000000000000000000000000000000FA -S31540027E4000000000000000000000000000000000EA -S31540027E5000000000000000000000000000000000DA -S31540027E6000000000000000000000000000000000CA -S31540027E7000000000000000000000000000000000BA -S31540027E8000000000000000000000000000000000AA -S31540027E90000000000000000000000000000000009A -S31540027EA0000000000000000000000000000000008A -S31540027EB0000000000000000000000000000000007A -S31540027EC0000000000000000000000000000000006A -S31540027ED0000000000000000000000000000000005A -S31540027EE0000000000000000000000000000000004A -S31540027EF0000000000000000000000000000000003A -S31540027F000000000000000000000000000000000029 -S31540027F100000000000000000000000000000000019 -S31540027F200000000000000000000000000000000009 -S31540027F3000000000000000000000000000000000F9 -S31540027F4000000000000000000000000000000000E9 -S31540027F5000000000000000000000000000000000D9 -S31540027F6000000000000000000000000000000000C9 -S31540027F7000000000000000000000000000000000B9 -S31540027F8000000000000000000000000000000000A9 -S31540027F900000000000000000000000000000000099 -S31540027FA00000000000000000000000000000000089 -S31540027FB00000000000000000000000000000000079 -S31540027FC00000000000000000000000000000000069 -S31540027FD00000000000000000000000000000000059 -S31540027FE00000000000000000000000000000000049 -S31540027FF00000000000000000000000000000000039 -S315400280000000003F0000000C0000003F000000128C -S31540028010000000FF00000018000000000000000001 -S315400280200000000000000000000000000000000008 -S3154002803000000000000000000000000000000000F8 -S3154002804000000000000000000000000000000000E8 -S3154002805000000000000000000000000000000000D8 -S3154002806000000000000000000000000000000000C8 -S3154002807000000000000000000000000000000000B8 -S3154002808000000000000000000000000000000000A8 -S315400280900000000000000000000000000000000098 -S315400280A00000000000000000000000000000000088 -S315400280B00000000000000000000000000000000078 -S315400280C00000000000000000000000000000000068 -S315400280D00000000000000000000000000000000058 -S315400280E00000000000000000000000000000000048 -S315400280F00000000000000000000000000000000038 -S315400281000000000000000000000000000000000027 -S315400281100000000000000000000000000000000017 -S315400281200000000000000000000000000000000007 -S3154002813000000000000000000000000000000000F7 -S3154002814000000000000000000000000000000000E7 -S3154002815000000000000000000000000000000000D7 -S3154002816000000000000000000000000000000000C7 -S3154002817000000000000000000000000000000000B7 -S3154002818000000000000000000000000000000000A7 -S315400281900000000000000000000000000000000097 -S315400281A00000000000000000000000000000000087 -S315400281B00000000000000000000000000000000077 -S315400281C00000000000000000000000000000000067 -S315400281D00000000000000000000000000000000057 -S315400281E00000000000000000000000000000000047 -S315400281F00000000000000000000000000000000037 -S315400282000000000000000000000000000000000026 -S315400282100000000000000000000000000000000016 -S315400282200000000000000000000000000000000006 -S3154002823000000000000000000000000000000000F6 -S3154002824000000000000000000000000000000000E6 -S3154002825000000000000000000000000000000000D6 -S3154002826000000000000000000000000000000000C6 -S3154002827000000000000000000000000000000000B6 -S3154002828000000000000000000000000000000000A6 -S315400282900000000000000000000000000000000096 -S315400282A00000000000000000000000000000000086 -S315400282B00000000000000000000000000000000076 -S315400282C00000000000000000000000000000000066 -S315400282D00000000000000000000000000000000056 -S315400282E00000000000000000000000000000000046 -S315400282F00000000000000000000000000000000036 -S315400283000000000000000000000000000000000025 -S315400283100000000000000000000000000000000015 -S315400283200000000000000000000000000000000005 -S3154002833000000000000000000000000000000000F5 -S3154002834000000000000000000000000000000000E5 -S3154002835000000000000000000000000000000000D5 -S3154002836000000000000000000000000000000000C5 -S3154002837000000000000000000000000000000000B5 -S3154002838000000000000000000000000000000000A5 -S315400283900000000000000000000000000000000095 -S315400283A00000000000000000000000000000000085 -S315400283B00000000000000000000000000000000075 -S315400283C00000000000000000000000000000000065 -S315400283D00000000000000000000000000000000055 -S315400283E00000000000000000000000000000000045 -S315400283F00000000000000000000000000000000035 -S315400284000000000000000000000000000000000024 -S315400284100000000000000000000000000000000014 -S315400284200000000000000000000000000000000004 -S3154002843000000000000000000000000000000000F4 -S3154002844000000000000000000000000000000000E4 -S3154002845000000000000000000000000000000000D4 -S3154002846000000000000000000000000000000000C4 -S3154002847000000000000000000000000000000000B4 -S3154002848000000000000000000000000000000000A4 -S315400284900000000000000000000000000000000094 -S315400284A00000000000000000000000000000000084 -S315400284B00000000000000000000000000000000074 -S315400284C00000000000000000000000000000000064 -S315400284D00000000000000000000000000000000054 -S315400284E00000000000000000000000000000000044 -S315400284F00000000000000000000000000000000034 -S315400285000000000000000000000000000000000023 -S315400285100000000000000000000000000000000013 -S315400285200000000000000000000000000000000003 -S3154002853000000000000000000000000000000000F3 -S3154002854000000000000000000000000000000000E3 -S3154002855000000000000000000000000000000000D3 -S3154002856000000000000000000000000000000000C3 -S3154002857000000000000000000000000000000000B3 -S3154002858000000000000000000000000000000000A3 -S315400285900000000000000000000000000000000093 -S315400285A00000000000000000000000000000000083 -S315400285B00000000000000000000000000000000073 -S315400285C00000000000000000000000000000000063 -S315400285D00000000000000000000000000000000053 -S315400285E00000000000000000000000000000000043 -S315400285F00000000000000000000000000000000033 -S315400286000000000000000000000000000000000022 -S315400286100000000000000000000000000000000012 -S315400286200000000000000000000000000000000002 -S3154002863000000000000000000000000000000000F2 -S3154002864000000000000000000000000000000000E2 -S3154002865000000000000000000000000000000000D2 -S3154002866000000000000000000000000000000000C2 -S3154002867000000000000000000000000000000000B2 -S3154002868000000000000000000000000000000000A2 -S315400286900000000000000000000000000000000092 -S315400286A00000000000000000000000000000000082 -S315400286B00000000000000000000000000000000072 -S315400286C00000000000000000000000000000000062 -S315400286D00000000000000000000000000000000052 -S315400286E00000000000000000000000000000000042 -S315400286F00000000000000000000000000000000032 -S315400287000000000000000000000000000000000021 -S315400287100000000000000000000000000000000011 -S315400287200000000000000000000000000000000001 -S3154002873000000000000000000000000000000000F1 -S3154002874000000000000000000000000000000000E1 -S3154002875000000000000000000000000000000000D1 -S3154002876000000000000000000000000000000000C1 -S3154002877000000000000000000000000000000000B1 -S3154002878000000000000000000000000000000000A1 -S315400287900000000000000000000000000000000091 -S315400287A00000000000000000000000000000000081 -S315400287B00000000000000000000000000000000071 -S315400287C00000000000000000000000000000000061 -S315400287D00000000000000000000000000000000051 -S315400287E00000000000000000000000000000000041 -S315400287F00000000000000000000000000000000031 -S315400288000000000000000000000000000000000020 -S315400288100000000000000000000000000000000010 -S315400288200000000000000000000000000000000000 -S3154002883000000000000000000000000000000000F0 -S3154002884000000000000000000000000000000000E0 -S3154002885000000000000000000000000000000000D0 -S3154002886000000000000000000000000000000000C0 -S3154002887000000000000000000000000000000000B0 -S3154002888000000000000000000000000000000000A0 -S315400288900000000000000000000000000000000090 -S315400288A00000000000000000000000000000000080 -S315400288B00000000000000000000000000000000070 -S315400288C00000000000000000000000000000000060 -S315400288D00000000000000000000000000000000050 -S315400288E00000000000000000000000000000000040 -S315400288F00000000000000000000000000000000030 -S31540028900000000000000000000000000000000001F -S31540028910000000000000000000000000000000000F -S3154002892000000000000000000000000000000000FF -S3154002893000000000000000000000000000000000EF -S3154002894000000000000000000000000000000000DF -S3154002895000000000000000000000000000000000CF -S3154002896000000000000000000000000000000000BF -S3154002897000000000000000000000000000000000AF -S31540028980000000000000000000000000000000009F -S31540028990000000000000000000000000000000008F -S315400289A0000000000000000000000000000000007F -S315400289B0000000000000000000000000000000006F -S315400289C0000000000000000000000000000000005F -S315400289D0000000000000000000000000000000004F -S315400289E0000000000000000000000000000000003F -S315400289F0000000000000000000000000000000002F -S31540028A00000000000000000000000000000000001E -S31540028A10000000000000000000000000000000000E -S31540028A2000000000000000000000000000000000FE -S31540028A3000000000000000000000000000000000EE -S31540028A4000000000000000000000000000000000DE -S31540028A5000000000000000000000000000000000CE -S31540028A6000000000000000000000000000000000BE -S31540028A7000000000000000000000000000000000AE -S31540028A80000000000000000000000000000000009E -S31540028A90000000000000000000000000000000008E -S31540028AA0000000000000000000000000000000007E -S31540028AB0000000000000000000000000000000006E -S31540028AC0000000000000000000000000000000005E -S31540028AD0000000000000000000000000000000004E -S31540028AE0000000000000000000000000000000003E -S31540028AF0000000000000000000000000000000002E -S31540028B00000000000000000000000000000000001D -S31540028B10000000000000000000000000000000000D -S31540028B2000000000000000000000000000000000FD -S31540028B3000000000000000000000000000000000ED -S31540028B4000000000000000000000000000000000DD -S31540028B5000000000000000000000000000000000CD -S31540028B6000000000000000000000000000000000BD -S31540028B7000000000000000000000000000000000AD -S31540028B80000000000000000000000000000000009D -S31540028B90000000000000000000000000000000008D -S31540028BA0000000000000000000000000000000007D -S31540028BB0000000000000000000000000000000006D -S31540028BC0000000000000000000000000000000005D -S31540028BD0000000000000000000000000000000004D -S31540028BE0000000000000000000000000000000003D -S31540028BF0000000000000000000000000000000002D -S31540028C00000000000000000000000000000000001C -S31540028C10000000000000000000000000000000000C -S31540028C2000000000000000000000000000000000FC -S31540028C3000000000000000000000000000000000EC -S31540028C4000000000000000000000000000000000DC -S31540028C5000000000000000000000000000000000CC -S31540028C6000000000000000000000000000000000BC -S31540028C7000000000000000000000000000000000AC -S31540028C80000000000000000000000000000000009C -S31540028C90000000000000000000000000000000008C -S31540028CA0000000000000000000000000000000007C -S31540028CB0000000000000000000000000000000006C -S31540028CC0000000000000000000000000000000005C -S31540028CD0000000000000000000000000000000004C -S31540028CE0000000000000000000000000000000003C -S31540028CF0000000000000000000000000000000002C -S31540028D00000000000000000000000000000000001B -S31540028D10000000000000000000000000000000000B -S31540028D2000000000000000000000000000000000FB -S31540028D3000000000000000000000000000000000EB -S31540028D4000000000000000000000000000000000DB -S31540028D5000000000000000000000000000000000CB -S31540028D6000000000000000000000000000000000BB -S31540028D7000000000000000000000000000000000AB -S31540028D80000000000000000000000000000000009B -S31540028D90000000000000000000000000000000008B -S31540028DA0000000000000000000000000000000007B -S31540028DB0000000000000000000000000000000006B -S31540028DC0000000000000000000000000000000005B -S31540028DD0000000000000000000000000000000004B -S31540028DE0000000000000000000000000000000003B -S31540028DF0000000000000000000000000000000002B -S31540028E00000000000000000000000000000000001A -S31540028E10000000000000000000000000000000000A -S31540028E2000000000000000000000000000000000FA -S31540028E3000000000000000000000000000000000EA -S31540028E4000000000000000000000000000000000DA -S31540028E5000000000000000000000000000000000CA -S31540028E6000000000000000000000000000000000BA -S31540028E7000000000000000000000000000000000AA -S31540028E80000000000000000000000000000000009A -S31540028E90000000000000000000000000000000008A -S31540028EA0000000000000000000000000000000007A -S31540028EB0000000000000000000000000000000006A -S31540028EC0000000000000000000000000000000005A -S31540028ED0000000000000000000000000000000004A -S31540028EE0000000000000000000000000000000003A -S31540028EF0000000000000000000000000000000002A -S31540028F000000000000000000000000000000000019 -S31540028F100000000000000000000000000000000009 -S31540028F2000000000000000000000000000000000F9 -S31540028F3000000000000000000000000000000000E9 -S31540028F4000000000000000000000000000000000D9 -S31540028F5000000000000000000000000000000000C9 -S31540028F6000000000000000000000000000000000B9 -S31540028F7000000000000000000000000000000000A9 -S31540028F800000000000000000000000000000000099 -S31540028F900000000000000000000000000000000089 -S31540028FA00000000000000000000000000000000079 -S31540028FB00000000000000000000000000000000069 -S31540028FC00000000000000000000000000000000059 -S31540028FD00000000000000000000000000000000049 -S31540028FE00000000000000000000000000000000039 -S31540028FF00000000000000000000000000000000029 -S315400290000000000000000000000000000000000018 -S315400290100000000000000000000000000000000008 -S3154002902000000000000000000000000000000000F8 -S3154002903000000000000000000000000000000000E8 -S3154002904000000000000000000000000000000000D8 -S3154002905000000000000000000000000000000000C8 -S3154002906000000000000000000000000000000000B8 -S3154002907000000000000000000000000000000000A8 -S315400290800000000000000000000000000000000098 -S315400290900000000000000000000000000000000088 -S315400290A00000000000000000000000000000000078 -S315400290B00000000000000000000000000000000068 -S315400290C00000000000000000000000000000000058 -S315400290D00000000000000000000000000000000048 -S315400290E00000000000000000000000000000000038 -S315400290F00000000000000000000000000000000028 -S315400291000000000000000000000000000000000017 -S315400291100000000000000000000000000000000007 -S3154002912000000000000000000000000000000000F7 -S3154002913000000000000000000000000000000000E7 -S3154002914000000000000000000000000000000000D7 -S3154002915000000000000000000000000000000000C7 -S3154002916000000000000000000000000000000000B7 -S3154002917000000000000000000000000000000000A7 -S315400291800000000000000000000000000000000097 -S315400291900000000000000000000000000000000087 -S315400291A00000000000000000000000000000000077 -S315400291B00000000000000000000000000000000067 -S315400291C00000000000000000000000000000000057 -S315400291D00000000000000000000000000000000047 -S315400291E00000000000000000000000000000000037 -S315400291F00000000000000000000000000000000027 -S315400292000000000000000000000000000000000016 -S315400292100000000000000000000000000000000006 -S3154002922000000000000000000000000000000000F6 -S3154002923000000000000000000000000000000000E6 -S3154002924000000000000000000000000000000000D6 -S3154002925000000000000000000000000000000000C6 -S3154002926000000000000000000000000000000000B6 -S3154002927000000000000000000000000000000000A6 -S315400292800000000000000000000000000000000096 -S315400292900000000000000000000000000000000086 -S315400292A00000000000000000000000000000000076 -S315400292B00000000000000000000000000000000066 -S315400292C00000000000000000000000000000000056 -S315400292D00000000000000000000000000000000046 -S315400292E00000000000000000000000000000000036 -S315400292F00000000000000000000000000000000026 -S315400293000000000000000000000000000000000015 -S315400293100000000000000000000000000000000005 -S3154002932000000000000000000000000000000000F5 -S3154002933000000000000000000000000000000000E5 -S3154002934000000000000000000000000000000000D5 -S3154002935000000000000000000000000000000000C5 -S3154002936000000000000000000000000000000000B5 -S3154002937000000000000000000000000000000000A5 -S315400293800000000000000000000000000000000095 -S315400293900000000000000000000000000000000085 -S315400293A00000000000000000000000000000000075 -S315400293B00000000000000000000000000000000065 -S315400293C00000000000000000000000000000000055 -S315400293D00000000000000000000000000000000045 -S315400293E00000000000000000000000000000000035 -S315400293F00000000000000000000000000000000025 -S315400294000000000000000000000000000000000014 -S315400294100000000000000000000000000000000004 -S3154002942000000000000000000000000000000000F4 -S3154002943000000000000000000000000000000000E4 -S3154002944000000000000000000000000000000000D4 -S3154002945000000000000000000000000000000000C4 -S3154002946000000000000000000000000000000000B4 -S3154002947000000000000000000000000000000000A4 -S315400294800000000000000000000000000000000094 -S315400294900000000000000000000000000000000084 -S315400294A00000000000000000000000000000000074 -S315400294B00000000000000000000000000000000064 -S315400294C00000000000000000000000000000000054 -S315400294D00000000000000000000000000000000044 -S315400294E00000000000000000000000000000000034 -S315400294F00000000000000000000000000000000024 -S315400295000000000000000000000000000000000013 -S315400295100000000000000000000000000000000003 -S3154002952000000000000000000000000000000000F3 -S3154002953000000000000000000000000000000000E3 -S3154002954000000000000000000000000000000000D3 -S3154002955000000000000000000000000000000000C3 -S3154002956000000000000000000000000000000000B3 -S3154002957000000000000000000000000000000000A3 -S315400295800000000000000000000000000000000093 -S315400295900000000000000000000000000000000083 -S315400295A00000000000000000000000000000000073 -S315400295B00000000000000000000000000000000063 -S315400295C00000000000000000000000000000000053 -S315400295D00000000000000000000000000000000043 -S315400295E00000000000000000000000000000000033 -S315400295F00000000000000000000000000000000023 -S315400296000000000000000000000000000000000012 -S315400296100000000000000000000000000000000002 -S3154002962000000000000000000000000000000000F2 -S3154002963000000000000000000000000000000000E2 -S3154002964000000000000000000000000000000000D2 -S3154002965000000000000000000000000000000000C2 -S3154002966000000000000000000000000000000000B2 -S3154002967000000000000000000000000000000000A2 -S315400296800000000000000000000000000000000092 -S315400296900000000000000000000000000000000082 -S315400296A00000000000000000000000000000000072 -S315400296B00000000000000000000000000000000062 -S315400296C00000000000000000000000000000000052 -S315400296D00000000000000000000000000000000042 -S315400296E00000000000000000000000000000000032 -S315400296F00000000000000000000000000000000022 -S315400297000000000000000000000000000000000011 -S315400297100000000000000000000000000000000001 -S3154002972000000000000000000000000000000000F1 -S3154002973000000000000000000000000000000000E1 -S3154002974000000000000000000000000000000000D1 -S3154002975000000000000000000000000000000000C1 -S3154002976000000000000000000000000000000000B1 -S3154002977000000000000000000000000000000000A1 -S315400297800000000000000000000000000000000091 -S315400297900000000000000000000000000000000081 -S315400297A00000000000000000000000000000000071 -S315400297B00000000000000000000000000000000061 -S315400297C00000000000000000000000000000000051 -S315400297D00000000000000000000000000000000041 -S315400297E00000000000000000000000000000000031 -S315400297F00000000000000000000000000000000021 -S315400298000000000000000000000000000000000010 -S315400298100000000000000000000000000000000000 -S3154002982000000000000000000000000000000000F0 -S3154002983000000000000000000000000000000000E0 -S3154002984000000000000000000000000000000000D0 -S3154002985000000000000000000000000000000000C0 -S3154002986000000000000000000000000000000000B0 -S3154002987000000000000000000000000000000000A0 -S315400298800000000000000000000000000000000090 -S315400298900000000000000000000000000000000080 -S315400298A00000000000000000000000000000000070 -S315400298B00000000000000000000000000000000060 -S315400298C00000000000000000000000000000000050 -S315400298D00000000000000000000000000000000040 -S315400298E00000000000000000000000000000000030 -S315400298F00000000000000000000000000000000020 -S31540029900000000000000000000000000000000000F -S3154002991000000000000000000000000000000000FF -S3154002992000000000000000000000000000000000EF -S3154002993000000000000000000000000000000000DF -S3154002994000000000000000000000000000000000CF -S3154002995000000000000000000000000000000000BF -S3154002996000000000000000000000000000000000AF -S31540029970000000000000000000000000000000009F -S31540029980000000000000000000000000000000008F -S31540029990000000000000000000000000000000007F -S315400299A0000000000000000000000000000000006F -S315400299B0000000000000000000000000000000005F -S315400299C0000000000000000000000000000000004F -S315400299D0000000000000000000000000000000003F -S315400299E0000000000000000000000000000000002F -S315400299F0000000000000000000000000000000001F -S31540029A00000000000000000000000000000000000E -S31540029A1000000000000000000000000000000000FE -S31540029A2000000000000000000000000000000000EE -S31540029A3000000000000000000000000000000000DE -S31540029A4000000000000000000000000000000000CE -S31540029A5000000000000000000000000000000000BE -S31540029A6000000000000000000000000000000000AE -S31540029A70000000000000000000000000000000009E -S31540029A80000000000000000000000000000000008E -S31540029A90000000000000000000000000000000007E -S31540029AA0000000000000000000000000000000006E -S31540029AB0000000000000000000000000000000005E -S31540029AC0000000000000000000000000000000004E -S31540029AD0000000000000000000000000000000003E -S31540029AE0000000000000000000000000000000002E -S31540029AF0000000000000000000000000000000001E -S31540029B00000000000000000000000000000000000D -S31540029B1000000000000000000000000000000000FD -S31540029B2000000000000000000000000000000000ED -S31540029B3000000000000000000000000000000000DD -S31540029B4000000000000000000000000000000000CD -S31540029B5000000000000000000000000000000000BD -S31540029B6000000000000000000000000000000000AD -S31540029B70000000000000000000000000000000009D -S31540029B80000000000000000000000000000000008D -S31540029B90000000000000000000000000000000007D -S31540029BA0000000000000000000000000000000006D -S31540029BB0000000000000000000000000000000005D -S31540029BC0000000000000000000000000000000004D -S31540029BD0000000000000000000000000000000003D -S31540029BE0000000000000000000000000000000002D -S31540029BF0000000000000000000000000000000001D -S31540029C00000000000000000000000000000000000C -S31540029C1000000000000000000000000000000000FC -S31540029C2000000000000000000000000000000000EC -S31540029C3000000000000000000000000000000000DC -S31540029C4000000000000000000000000000000000CC -S31540029C5000000000000000000000000000000000BC -S31540029C6000000000000000000000000000000000AC -S31540029C70000000000000000000000000000000009C -S31540029C80000000000000000000000000000000008C -S31540029C90000000000000000000000000000000007C -S31540029CA0000000000000000000000000000000006C -S31540029CB0000000000000000000000000000000005C -S31540029CC0000000000000000000000000000000004C -S31540029CD0000000000000000000000000000000003C -S31540029CE0000000000000000000000000000000002C -S31540029CF0000000000000000000000000000000001C -S31540029D00000000000000000000000000000000000B -S31540029D1000000000000000000000000000000000FB -S31540029D2000000000000000000000000000000000EB -S31540029D3000000000000000000000000000000000DB -S31540029D4000000000000000000000000000000000CB -S31540029D5000000000000000000000000000000000BB -S31540029D6000000000000000000000000000000000AB -S31540029D70000000000000000000000000000000009B -S31540029D80000000000000000000000000000000008B -S31540029D90000000000000000000000000000000007B -S31540029DA0000000000000000000000000000000006B -S31540029DB0000000000000000000000000000000005B -S31540029DC0000000000000000000000000000000004B -S31540029DD0000000000000000000000000000000003B -S31540029DE0000000000000000000000000000000002B -S31540029DF0000000000000000000000000000000001B -S31540029E00000000000000000000000000000000000A -S31540029E1000000000000000000000000000000000FA -S31540029E2000000000000000000000000000000000EA -S31540029E3000000000000000000000000000000000DA -S31540029E4000000000000000000000000000000000CA -S31540029E5000000000000000000000000000000000BA -S31540029E6000000000000000000000000000000000AA -S31540029E70000000000000000000000000000000009A -S31540029E80000000000000000000000000000000008A -S31540029E90000000000000000000000000000000007A -S31540029EA0000000000000000000000000000000006A -S31540029EB0000000000000000000000000000000005A -S31540029EC0000000000000000000000000000000004A -S31540029ED0000000000000000000000000000000003A -S31540029EE0000000000000000000000000000000002A -S31540029EF0000000000000000000000000000000001A -S31540029F000000000000000000000000000000000009 -S31540029F1000000000000000000000000000000000F9 -S31540029F2000000000000000000000000000000000E9 -S31540029F3000000000000000000000000000000000D9 -S31540029F4000000000000000000000000000000000C9 -S31540029F5000000000000000000000000000000000B9 -S31540029F6000000000000000000000000000000000A9 -S31540029F700000000000000000000000000000000099 -S31540029F800000000000000000000000000000000089 -S31540029F900000000000000000000000000000000079 -S31540029FA00000000000000000000000000000000069 -S31540029FB00000000000000000000000000000000059 -S31540029FC00000000000000000000000000000000049 -S31540029FD00000000000000000000000000000000039 -S31540029FE00000000000000000000000000000000029 -S31540029FF00000000000000000000000000000000019 -S3154002A0000000000000000000000000000000000008 -S3154002A01000000000000000000000000000000000F8 -S3154002A02000000000000000000000000000000000E8 -S3154002A03000000000000000000000000000000000D8 -S3154002A04000000000000000000000000000000000C8 -S3154002A05000000000000000000000000000000000B8 -S3154002A06000000000000000000000000000000000A8 -S3154002A0700000000000000000000000000000000098 -S3154002A0800000000000000000000000000000000088 -S3154002A0900000000000000000000000000000000078 -S3154002A0A00000000000000000000000000000000068 -S3154002A0B00000000000000000000000000000000058 -S3154002A0C00000000000000000000000000000000048 -S3154002A0D00000000000000000000000000000000038 -S3154002A0E00000000000000000000000000000000028 -S3154002A0F00000000000000000000000000000000018 -S3154002A1000000000000000000000000000000000007 -S3154002A11000000000000000000000000000000000F7 -S3154002A12000000000000000000000000000000000E7 -S3154002A13000000000000000000000000000000000D7 -S3154002A14000000000000000000000000000000000C7 -S3154002A15000000000000000000000000000000000B7 -S3154002A16000000000000000000000000000000000A7 -S3154002A1700000000000000000000000000000000097 -S3154002A1800000000000000000000000000000000087 -S3154002A1900000000000000000000000000000000077 -S3154002A1A00000000000000000000000000000000067 -S3154002A1B00000000000000000000000000000000057 -S3154002A1C00000000000000000000000000000000047 -S3154002A1D00000000000000000000000000000000037 -S3154002A1E00000000000000000000000000000000027 -S3154002A1F00000000000000000000000000000000017 -S3154002A2000000000000000000000000000000000006 -S3154002A21000000000000000000000000000000000F6 -S3154002A22000000000000000000000000000000000E6 -S3154002A23000000000000000000000000000000000D6 -S3154002A24000000000000000000000000000000000C6 -S3154002A25000000000000000000000000000000000B6 -S3154002A26000000000000000000000000000000000A6 -S3154002A2700000000000000000000000000000000096 -S3154002A2800000000000000000000000000000000086 -S3154002A2900000000000000000000000000000000076 -S3154002A2A00000000000000000000000000000000066 -S3154002A2B00000000000000000000000000000000056 -S3154002A2C00000000000000000000000000000000046 -S3154002A2D00000000000000000000000000000000036 -S3154002A2E00000000000000000000000000000000026 -S3154002A2F00000000000000000000000000000000016 -S3154002A3000000000000000000000000000000000005 -S3154002A31000000000000000000000000000000000F5 -S3154002A32000000000000000000000000000000000E5 -S3154002A33000000000000000000000000000000000D5 -S3154002A34000000000000000000000000000000000C5 -S3154002A35000000000000000000000000000000000B5 -S3154002A36000000000000000000000000000000000A5 -S3154002A3700000000000000000000000000000000095 -S3154002A3800000000000000000000000000000000085 -S3154002A3900000000000000000000000000000000075 -S3154002A3A00000000000000000000000000000000065 -S3154002A3B00000000000000000000000000000000055 -S3154002A3C00000000000000000000000000000000045 -S3154002A3D00000000000000000000000000000000035 -S3154002A3E00000000000000000000000000000000025 -S3154002A3F00000000000000000000000000000000015 -S3154002A4000000000000000000000000000000000004 -S3154002A41000000000000000000000000000000000F4 -S3154002A42000000000000000000000000000000000E4 -S3154002A43000000000000000000000000000000000D4 -S3154002A44000000000000000000000000000000000C4 -S3154002A45000000000000000000000000000000000B4 -S3154002A46000000000000000000000000000000000A4 -S3154002A4700000000000000000000000000000000094 -S3154002A4800000000000000000000000000000000084 -S3154002A4900000000000000000000000000000000074 -S3154002A4A00000000000000000000000000000000064 -S3154002A4B00000000000000000000000000000000054 -S3154002A4C00000000000000000000000000000000044 -S3154002A4D00000000000000000000000000000000034 -S3154002A4E00000000000000000000000000000000024 -S3154002A4F00000000000000000000000000000000014 -S3154002A5000000000000000000000000000000000003 -S3154002A51000000000000000000000000000000000F3 -S3154002A52000000000000000000000000000000000E3 -S3154002A53000000000000000000000000000000000D3 -S3154002A54000000000000000000000000000000000C3 -S3154002A55000000000000000000000000000000000B3 -S3154002A56000000000000000000000000000000000A3 -S3154002A5700000000000000000000000000000000093 -S3154002A5800000000000000000000000000000000083 -S3154002A5900000000000000000000000000000000073 -S3154002A5A00000000000000000000000000000000063 -S3154002A5B00000000000000000000000000000000053 -S3154002A5C00000000000000000000000000000000043 -S3154002A5D00000000000000000000000000000000033 -S3154002A5E00000000000000000000000000000000023 -S3154002A5F00000000000000000000000000000000013 -S3154002A6000000000000000000000000000000000002 -S3154002A61000000000000000000000000000000000F2 -S3154002A62000000000000000000000000000000000E2 -S3154002A63000000000000000000000000000000000D2 -S3154002A64000000000000000000000000000000000C2 -S3154002A65000000000000000000000000000000000B2 -S3154002A66000000000000000000000000000000000A2 -S3154002A6700000000000000000000000000000000092 -S3154002A6800000000000000000000000000000000082 -S3154002A6900000000000000000000000000000000072 -S3154002A6A00000000000000000000000000000000062 -S3154002A6B00000000000000000000000000000000052 -S3154002A6C00000000000000000000000000000000042 -S3154002A6D00000000000000000000000000000000032 -S3154002A6E00000000000000000000000000000000022 -S3154002A6F00000000000000000000000000000000012 -S3154002A7000000000000000000000000000000000001 -S3154002A71000000000000000000000000000000000F1 -S3154002A72000000000000000000000000000000000E1 -S3154002A73000000000000000000000000000000000D1 -S3154002A74000000000000000000000000000000000C1 -S3154002A75000000000000000000000000000000000B1 -S3154002A76000000000000000000000000000000000A1 -S3154002A7700000000000000000000000000000000091 -S3154002A7800000000000000000000000000000000081 -S3154002A7900000000000000000000000000000000071 -S3154002A7A00000000000000000000000000000000061 -S3154002A7B00000000000000000000000000000000051 -S3154002A7C00000000000000000000000000000000041 -S3154002A7D00000000000000000000000000000000031 -S3154002A7E00000000000000000000000000000000021 -S3154002A7F00000000000000000000000000000000011 -S3154002A8000000000000000000000000000000000000 -S3154002A81000000000000000000000000000000000F0 -S3154002A82000000000000000000000000000000000E0 -S3154002A83000000000000000000000000000000000D0 -S3154002A84000000000000000000000000000000000C0 -S3154002A85000000000000000000000000000000000B0 -S3154002A86000000000000000000000000000000000A0 -S3154002A8700000000000000000000000000000000090 -S3154002A8800000000000000000000000000000000080 -S3154002A8900000000000000000000000000000000070 -S3154002A8A00000000000000000000000000000000060 -S3154002A8B00000000000000000000000000000000050 -S3154002A8C00000000000000000000000000000000040 -S3154002A8D00000000000000000000000000000000030 -S3154002A8E00000000000000000000000000000000020 -S3154002A8F00000000000000000000000000000000010 -S3154002A90000000000000000000000000000000000FF -S3154002A91000000000000000000000000000000000EF -S3154002A92000000000000000000000000000000000DF -S3154002A93000000000000000000000000000000000CF -S3154002A94000000000000000000000000000000000BF -S3154002A95000000000000000000000000000000000AF -S3154002A960000000000000000000000000000000009F -S3154002A970000000000000000000000000000000008F -S3154002A980000000000000000000000000000000007F -S3154002A990000000000000000000000000000000006F -S3154002A9A0000000000000000000000000000000005F -S3154002A9B0000000000000000000000000000000004F -S3154002A9C0000000000000000000000000000000003F -S3154002A9D0000000000000000000000000000000002F -S3154002A9E0000000000000000000000000000000001F -S3154002A9F0000000000000000000000000000000000F -S3154002AA0000000000000000000000000000000000FE -S3154002AA1000000000000000000000000000000000EE -S3154002AA2000000000000000000000000000000000DE -S3154002AA3000000000000000000000000000000000CE -S3154002AA4000000000000000000000000000000000BE -S3154002AA5000000000000000000000000000000000AE -S3154002AA60000000000000000000000000000000009E -S3154002AA70000000000000000000000000000000008E -S3154002AA80000000000000000000000000000000007E -S3154002AA90000000000000000000000000000000006E -S3154002AAA0000000000000000000000000000000005E -S3154002AAB0000000000000000000000000000000004E -S3154002AAC0000000000000000000000000000000003E -S3154002AAD0000000000000000000000000000000002E -S3154002AAE0000000000000000000000000000000001E -S3154002AAF0000000000000000000000000000000000E -S3154002AB0000000000000000000000000000000000FD -S3154002AB1000000000000000000000000000000000ED -S3154002AB2000000000000000000000000000000000DD -S3154002AB3000000000000000000000000000000000CD -S3154002AB4000000000000000000000000000000000BD -S3154002AB5000000000000000000000000000000000AD -S3154002AB60000000000000000000000000000000009D -S3154002AB70000000000000000000000000000000008D -S3154002AB80000000000000000000000000000000007D -S3154002AB90000000000000000000000000000000006D -S3154002ABA0000000000000000000000000000000005D -S3154002ABB0000000000000000000000000000000004D -S3154002ABC0000000000000000000000000000000003D -S3154002ABD0000000000000000000000000000000002D -S3154002ABE0000000000000000000000000000000001D -S3154002ABF0000000000000000000000000000000000D -S3154002AC0000000000000000000000000000000000FC -S3154002AC1000000000000000000000000000000000EC -S3154002AC2000000000000000000000000000000000DC -S3154002AC3000000000000000000000000000000000CC -S3154002AC4000000000000000000000000000000000BC -S3154002AC5000000000000000000000000000000000AC -S3154002AC60000000000000000000000000000000009C -S3154002AC70000000000000000000000000000000008C -S3154002AC80000000000000000000000000000000007C -S3154002AC90000000000000000000000000000000006C -S3154002ACA0000000000000000000000000000000005C -S3154002ACB0000000000000000000000000000000004C -S3154002ACC0000000000000000000000000000000003C -S3154002ACD0000000000000000000000000000000002C -S3154002ACE0000000000000000000000000000000001C -S3154002ACF0000000000000000000000000000000000C -S3154002AD0000000000000000000000000000000000FB -S3154002AD1000000000000000000000000000000000EB -S3154002AD2000000000000000000000000000000000DB -S3154002AD3000000000000000000000000000000000CB -S3154002AD4000000000000000000000000000000000BB -S3154002AD5000000000000000000000000000000000AB -S3154002AD60000000000000000000000000000000009B -S3154002AD70000000000000000000000000000000008B -S3154002AD80000000000000000000000000000000007B -S3154002AD90000000000000000000000000000000006B -S3154002ADA0000000000000000000000000000000005B -S3154002ADB0000000000000000000000000000000004B -S3154002ADC0000000000000000000000000000000003B -S3154002ADD0000000000000000000000000000000002B -S3154002ADE0000000000000000000000000000000001B -S3154002ADF0000000000000000000000000000000000B -S3154002AE0000000000000000000000000000000000FA -S3154002AE1000000000000000000000000000000000EA -S3154002AE2000000000000000000000000000000000DA -S3154002AE3000000000000000000000000000000000CA -S3154002AE4000000000000000000000000000000000BA -S3154002AE5000000000000000000000000000000000AA -S3154002AE60000000000000000000000000000000009A -S3154002AE70000000000000000000000000000000008A -S3154002AE80000000000000000000000000000000007A -S3154002AE90000000000000000000000000000000006A -S3154002AEA0000000000000000000000000000000005A -S3154002AEB0000000000000000000000000000000004A -S3154002AEC0000000000000000000000000000000003A -S3154002AED0000000000000000000000000000000002A -S3154002AEE0000000000000000000000000000000001A -S3154002AEF0000000000000000000000000000000000A -S3154002AF0000000000000000000000000000000000F9 -S3154002AF1000000000000000000000000000000000E9 -S3154002AF2000000000000000000000000000000000D9 -S3154002AF3000000000000000000000000000000000C9 -S3154002AF4000000000000000000000000000000000B9 -S3154002AF5000000000000000000000000000000000A9 -S3154002AF600000000000000000000000000000000099 -S3154002AF700000000000000000000000000000000089 -S3154002AF800000000000000000000000000000000079 -S3154002AF900000000000000000000000000000000069 -S3154002AFA00000000000000000000000000000000059 -S3154002AFB00000000000000000000000000000000049 -S3154002AFC00000000000000000000000000000000039 -S3154002AFD00000000000000000000000000000000029 -S3154002AFE00000000000000000000000000000000019 -S3154002AFF00000000000000000000000000000000009 -S3154002B00000000000000000000000000000000000F8 -S3154002B01000000000000000000000000000000000E8 -S3154002B02000000000000000000000000000000000D8 -S3154002B03000000000000000000000000000000000C8 -S3154002B04000000000000000000000000000000000B8 -S3154002B05000000000000000000000000000000000A8 -S3154002B0600000000000000000000000000000000098 -S3154002B0700000000000000000000000000000000088 -S3154002B0800000000000000000000000000000000078 -S3154002B0900000000000000000000000000000000068 -S3154002B0A00000000000000000000000000000000058 -S3154002B0B00000000000000000000000000000000048 -S3154002B0C00000000000000000000000000000000038 -S3154002B0D00000000000000000000000000000000028 -S3154002B0E00000000000000000000000000000000018 -S3154002B0F00000000000000000000000000000000008 -S3154002B10000000000000000000000000000000000F7 -S3154002B11000000000000000000000000000000000E7 -S3154002B12000000000000000000000000000000000D7 -S3154002B13000000000000000000000000000000000C7 -S3154002B14000000000000000000000000000000000B7 -S3154002B15000000000000000000000000000000000A7 -S3154002B1600000000000000000000000000000000097 -S3154002B1700000000000000000000000000000000087 -S3154002B1800000000000000000000000000000000077 -S3154002B1900000000000000000000000000000000067 -S3154002B1A00000000000000000000000000000000057 -S3154002B1B00000000000000000000000000000000047 -S3154002B1C00000000000000000000000000000000037 -S3154002B1D00000000000000000000000000000000027 -S3154002B1E00000000000000000000000000000000017 -S3154002B1F00000000000000000000000000000000007 -S3154002B20000000000000000000000000000000000F6 -S3154002B21000000000000000000000000000000000E6 -S3154002B22000000000000000000000000000000000D6 -S3154002B23000000000000000000000000000000000C6 -S3154002B24000000000000000000000000000000000B6 -S3154002B25000000000000000000000000000000000A6 -S3154002B2600000000000000000000000000000000096 -S3154002B2700000000000000000000000000000000086 -S3154002B2800000000000000000000000000000000076 -S3154002B2900000000000000000000000000000000066 -S3154002B2A00000000000000000000000000000000056 -S3154002B2B00000000000000000000000000000000046 -S3154002B2C00000000000000000000000000000000036 -S3154002B2D00000000000000000000000000000000026 -S3154002B2E00000000000000000000000000000000016 -S3154002B2F00000000000000000000000000000000006 -S3154002B30000000000000000000000000000000000F5 -S3154002B31000000000000000000000000000000000E5 -S3154002B32000000000000000000000000000000000D5 -S3154002B33000000000000000000000000000000000C5 -S3154002B34000000000000000000000000000000000B5 -S3154002B35000000000000000000000000000000000A5 -S3154002B3600000000000000000000000000000000095 -S3154002B3700000000000000000000000000000000085 -S3154002B3800000000000000000000000000000000075 -S3154002B3900000000000000000000000000000000065 -S3154002B3A00000000000000000000000000000000055 -S3154002B3B00000000000000000000000000000000045 -S3154002B3C00000000000000000000000000000000035 -S3154002B3D00000000000000000000000000000000025 -S3154002B3E00000000000000000000000000000000015 -S3154002B3F00000000000000000000000000000000005 -S3154002B40000000000000000000000000000000000F4 -S3154002B41000000000000000000000000000000000E4 -S3154002B42000000000000000000000000000000000D4 -S3154002B43000000000000000000000000000000000C4 -S3154002B44000000000000000000000000000000000B4 -S3154002B45000000000000000000000000000000000A4 -S3154002B4600000000000000000000000000000000094 -S3154002B4700000000000000000000000000000000084 -S3154002B4800000000000000000000000000000000074 -S3154002B4900000000000000000000000000000000064 -S3154002B4A00000000000000000000000000000000054 -S3154002B4B00000000000000000000000000000000044 -S3154002B4C00000000000000000000000000000000034 -S3154002B4D00000000000000000000000000000000024 -S3154002B4E00000000000000000000000000000000014 -S3154002B4F00000000000000000000000000000000004 -S3154002B50000000000000000000000000000000000F3 -S3154002B51000000000000000000000000000000000E3 -S3154002B52000000000000000000000000000000000D3 -S3154002B53000000000000000000000000000000000C3 -S3154002B54000000000000000000000000000000000B3 -S3154002B55000000000000000000000000000000000A3 -S3154002B5600000000000000000000000000000000093 -S3154002B5700000000000000000000000000000000083 -S3154002B5800000000000000000000000000000000073 -S3154002B5900000000000000000000000000000000063 -S3154002B5A00000000000000000000000000000000053 -S3154002B5B00000000000000000000000000000000043 -S3154002B5C00000000000000000000000000000000033 -S3154002B5D00000000000000000000000000000000023 -S3154002B5E00000000000000000000000000000000013 -S3154002B5F00000000000000000000000000000000003 -S3154002B60000000000000000000000000000000000F2 -S3154002B61000000000000000000000000000000000E2 -S3154002B62000000000000000000000000000000000D2 -S3154002B63000000000000000000000000000000000C2 -S3154002B64000000000000000000000000000000000B2 -S3154002B65000000000000000000000000000000000A2 -S3154002B6600000000000000000000000000000000092 -S3154002B6700000000000000000000000000000000082 -S3154002B6800000000000000000000000000000000072 -S3154002B6900000000000000000000000000000000062 -S3154002B6A00000000000000000000000000000000052 -S3154002B6B00000000000000000000000000000000042 -S3154002B6C00000000000000000000000000000000032 -S3154002B6D00000000000000000000000000000000022 -S3154002B6E00000000000000000000000000000000012 -S3154002B6F00000000000000000000000000000000002 -S3154002B70000000000000000000000000000000000F1 -S3154002B71000000000000000000000000000000000E1 -S3154002B72000000000000000000000000000000000D1 -S3154002B73000000000000000000000000000000000C1 -S3154002B74000000000000000000000000000000000B1 -S3154002B75000000000000000000000000000000000A1 -S3154002B7600000000000000000000000000000000091 -S3154002B7700000000000000000000000000000000081 -S3154002B7800000000000000000000000000000000071 -S3154002B7900000000000000000000000000000000061 -S3154002B7A00000000000000000000000000000000051 -S3154002B7B00000000000000000000000000000000041 -S3154002B7C00000000000000000000000000000000031 -S3154002B7D00000000000000000000000000000000021 -S3154002B7E00000000000000000000000000000000011 -S3154002B7F00000000000000000000000000000000001 -S3154002B80000000000000000000000000000000000F0 -S3154002B81000000000000000000000000000000000E0 -S3154002B82000000000000000000000000000000000D0 -S3154002B83000000000000000000000000000000000C0 -S3154002B84000000000000000000000000000000000B0 -S3154002B85000000000000000000000000000000000A0 -S3154002B8600000000000000000000000000000000090 -S3154002B8700000000000000000000000000000000080 -S3154002B8800000000000000000000000000000000070 -S3154002B8900000000000000000000000000000000060 -S3154002B8A00000000000000000000000000000000050 -S3154002B8B00000000000000000000000000000000040 -S3154002B8C00000000000000000000000000000000030 -S3154002B8D00000000000000000000000000000000020 -S3154002B8E00000000000000000000000000000000010 -S3154002B8F00000000000000000000000000000000000 -S3154002B90000000000000000000000000000000000EF -S3154002B91000000000000000000000000000000000DF -S3154002B92000000000000000000000000000000000CF -S3154002B93000000000000000000000000000000000BF -S3154002B94000000000000000000000000000000000AF -S3154002B950000000000000000000000000000000009F -S3154002B960000000000000000000000000000000008F -S3154002B970000000000000000000000000000000007F -S3154002B980000000000000000000000000000000006F -S3154002B990000000000000000000000000000000005F -S3154002B9A0000000000000000000000000000000004F -S3154002B9B0000000000000000000000000000000003F -S3154002B9C0000000000000000000000000000000002F -S3154002B9D0000000000000000000000000000000001F -S3154002B9E0000000000000000000000000000000000F -S3154002B9F000000000000000000000000000000000FF -S3154002BA0000000000000000000000000000000000EE -S3154002BA1000000000000000000000000000000000DE -S3154002BA2000000000000000000000000000000000CE -S3154002BA3000000000000000000000000000000000BE -S3154002BA4000000000000000000000000000000000AE -S3154002BA50000000000000000000000000000000009E -S3154002BA60000000000000000000000000000000008E -S3154002BA70000000000000000000000000000000007E -S3154002BA80000000000000000000000000000000006E -S3154002BA90000000000000000000000000000000005E -S3154002BAA0000000000000000000000000000000004E -S3154002BAB0000000000000000000000000000000003E -S3154002BAC0000000000000000000000000000000002E -S3154002BAD0000000000000000000000000000000001E -S3154002BAE0000000000000000000000000000000000E -S3154002BAF000000000000000000000000000000000FE -S3154002BB0000000000000000000000000000000000ED -S3154002BB1000000000000000000000000000000000DD -S3154002BB2000000000000000000000000000000000CD -S3154002BB3000000000000000000000000000000000BD -S3154002BB4000000000000000000000000000000000AD -S3154002BB50000000000000000000000000000000009D -S3154002BB60000000000000000000000000000000008D -S3154002BB70000000000000000000000000000000007D -S3154002BB80000000000000000000000000000000006D -S3154002BB90000000000000000000000000000000005D -S3154002BBA0000000000000000000000000000000004D -S3154002BBB0000000000000000000000000000000003D -S3154002BBC0000000000000000000000000000000002D -S3154002BBD0000000000000000000000000000000001D -S3154002BBE0000000000000000000000000000000000D -S3154002BBF000000000000000000000000000000000FD -S3154002BC0000000000000000000000000000000000EC -S3154002BC1000000000000000000000000000000000DC -S3154002BC2000000000000000000000000000000000CC -S3154002BC3000000000000000000000000000000000BC -S3154002BC4000000000000000000000000000000000AC -S3154002BC50000000000000000000000000000000009C -S3154002BC60000000000000000000000000000000008C -S3154002BC70000000000000000000000000000000007C -S3154002BC80000000000000000000000000000000006C -S3154002BC90000000000000000000000000000000005C -S3154002BCA0000000000000000000000000000000004C -S3154002BCB0000000000000000000000000000000003C -S3154002BCC0000000000000000000000000000000002C -S3154002BCD0000000000000000000000000000000001C -S3154002BCE0000000000000000000000000000000000C -S3154002BCF000000000000000000000000000000000FC -S3154002BD0000000000000000000000000000000000EB -S3154002BD1000000000000000000000000000000000DB -S3154002BD2000000000000000000000000000000000CB -S3154002BD3000000000000000000000000000000000BB -S3154002BD4000000000000000000000000000000000AB -S3154002BD50000000000000000000000000000000009B -S3154002BD60000000000000000000000000000000008B -S3154002BD70000000000000000000000000000000007B -S3154002BD80000000000000000000000000000000006B -S3154002BD90000000000000000000000000000000005B -S3154002BDA0000000000000000000000000000000004B -S3154002BDB0000000000000000000000000000000003B -S3154002BDC0000000000000000000000000000000002B -S3154002BDD0000000000000000000000000000000001B -S3154002BDE0000000000000000000000000000000000B -S3154002BDF000000000000000000000000000000000FB -S3154002BE0000000000000000000000000000000000EA -S3154002BE1000000000000000000000000000000000DA -S3154002BE2000000000000000000000000000000000CA -S3154002BE3000000000000000000000000000000000BA -S3154002BE4000000000000000000000000000000000AA -S3154002BE50000000000000000000000000000000009A -S3154002BE60000000000000000000000000000000008A -S3154002BE70000000000000000000000000000000007A -S3154002BE80000000000000000000000000000000006A -S3154002BE90000000000000000000000000000000005A -S3154002BEA0000000000000000000000000000000004A -S3154002BEB0000000000000000000000000000000003A -S3154002BEC0000000000000000000000000000000002A -S3154002BED0000000000000000000000000000000001A -S3154002BEE0000000000000000000000000000000000A -S3154002BEF000000000000000000000000000000000FA -S3154002BF0000000000000000000000000000000000E9 -S3154002BF1000000000000000000000000000000000D9 -S3154002BF2000000000000000000000000000000000C9 -S3154002BF3000000000000000000000000000000000B9 -S3154002BF4000000000000000000000000000000000A9 -S3154002BF500000000000000000000000000000000099 -S3154002BF600000000000000000000000000000000089 -S3154002BF700000000000000000000000000000000079 -S3154002BF800000000000000000000000000000000069 -S3154002BF900000000000000000000000000000000059 -S3154002BFA00000000000000000000000000000000049 -S3154002BFB00000000000000000000000000000000039 -S3154002BFC00000000000000000000000000000000029 -S3154002BFD00000000000000000000000000000000019 -S3154002BFE00000000000000000000000000000000009 -S3154002BFF000000000000000000000000000000000F9 -S3154002C00000000000000000000000000000000000E8 -S3154002C01000000000000000000000000000000000D8 -S3154002C02000000000000000000000000000000000C8 -S3154002C03000000000000000000000000000000000B8 -S3154002C04000000000000000000000000000000000A8 -S3154002C0500000000000000000000000000000000098 -S3154002C0600000000000000000000000000000000088 -S3154002C0700000000000000000000000000000000078 -S3154002C0800000000000000000000000000000000068 -S3154002C0900000000000000000000000000000000058 -S3154002C0A00000000000000000000000000000000048 -S3154002C0B00000000000000000000000000000000038 -S3154002C0C00000000000000000000000000000000028 -S3154002C0D00000000000000000000000000000000018 -S3154002C0E00000000000000000000000000000000008 -S3154002C0F000000000000000000000000000000000F8 -S3154002C10000000000000000000000000000000000E7 -S3154002C11000000000000000000000000000000000D7 -S3154002C12000000000000000000000000000000000C7 -S3154002C13000000000000000000000000000000000B7 -S3154002C14000000000000000000000000000000000A7 -S3154002C1500000000000000000000000000000000097 -S3154002C1600000000000000000000000000000000087 -S3154002C1700000000000000000000000000000000077 -S3154002C1800000000000000000000000000000000067 -S3154002C1900000000000000000000000000000000057 -S3154002C1A00000000000000000000000000000000047 -S3154002C1B00000000000000000000000000000000037 -S3154002C1C00000000000000000000000000000000027 -S3154002C1D00000000000000000000000000000000017 -S3154002C1E00000000000000000000000000000000007 -S3154002C1F000000000000000000000000000000000F7 -S3154002C20000000000000000000000000000000000E6 -S3154002C21000000000000000000000000000000000D6 -S3154002C22000000000000000000000000000000000C6 -S3154002C23000000000000000000000000000000000B6 -S3154002C24000000000000000000000000000000000A6 -S3154002C2500000000000000000000000000000000096 -S3154002C2600000000000000000000000000000000086 -S3154002C2700000000000000000000000000000000076 -S3154002C2800000000000000000000000000000000066 -S3154002C2900000000000000000000000000000000056 -S3154002C2A00000000000000000000000000000000046 -S3154002C2B00000000000000000000000000000000036 -S3154002C2C00000000000000000000000000000000026 -S3154002C2D00000000000000000000000000000000016 -S3154002C2E00000000000000000000000000000000006 -S3154002C2F000000000000000000000000000000000F6 -S3154002C30000000000000000000000000000000000E5 -S3154002C31000000000000000000000000000000000D5 -S3154002C32000000000000000000000000000000000C5 -S3154002C33000000000000000000000000000000000B5 -S3154002C34000000000000000000000000000000000A5 -S3154002C3500000000000000000000000000000000095 -S3154002C3600000000000000000000000000000000085 -S3154002C3700000000000000000000000000000000075 -S3154002C3800000000000000000000000000000000065 -S3154002C3900000000000000000000000000000000055 -S3154002C3A00000000000000000000000000000000045 -S3154002C3B00000000000000000000000000000000035 -S3154002C3C00000000000000000000000000000000025 -S3154002C3D00000000000000000000000000000000015 -S3154002C3E00000000000000000000000000000000005 -S3154002C3F000000000000000000000000000000000F5 -S3154002C40000000000000000000000000000000000E4 -S3154002C41000000000000000000000000000000000D4 -S3154002C42000000000000000000000000000000000C4 -S3154002C43000000000000000000000000000000000B4 -S3154002C44000000000000000000000000000000000A4 -S3154002C4500000000000000000000000000000000094 -S3154002C4600000000000000000000000000000000084 -S3154002C4700000000000000000000000000000000074 -S3154002C4800000000000000000000000000000000064 -S3154002C4900000000000000000000000000000000054 -S3154002C4A00000000000000000000000000000000044 -S3154002C4B00000000000000000000000000000000034 -S3154002C4C00000000000000000000000000000000024 -S3154002C4D00000000000000000000000000000000014 -S3154002C4E00000000000000000000000000000000004 -S3154002C4F000000000000000000000000000000000F4 -S3154002C50000000000000000000000000000000000E3 -S3154002C51000000000000000000000000000000000D3 -S3154002C52000000000000000000000000000000000C3 -S3154002C53000000000000000000000000000000000B3 -S3154002C54000000000000000000000000000000000A3 -S3154002C5500000000000000000000000000000000093 -S3154002C5600000000000000000000000000000000083 -S3154002C5700000000000000000000000000000000073 -S3154002C5800000000000000000000000000000000063 -S3154002C5900000000000000000000000000000000053 -S3154002C5A00000000000000000000000000000000043 -S3154002C5B00000000000000000000000000000000033 -S3154002C5C00000000000000000000000000000000023 -S3154002C5D00000000000000000000000000000000013 -S3154002C5E00000000000000000000000000000000003 -S3154002C5F000000000000000000000000000000000F3 -S3154002C60000000000000000000000000000000000E2 -S3154002C61000000000000000000000000000000000D2 -S3154002C62000000000000000000000000000000000C2 -S3154002C63000000000000000000000000000000000B2 -S3154002C64000000000000000000000000000000000A2 -S3154002C6500000000000000000000000000000000092 -S3154002C6600000000000000000000000000000000082 -S3154002C6700000000000000000000000000000000072 -S3154002C6800000000000000000000000000000000062 -S3154002C6900000000000000000000000000000000052 -S3154002C6A00000000000000000000000000000000042 -S3154002C6B00000000000000000000000000000000032 -S3154002C6C00000000000000000000000000000000022 -S3154002C6D00000000000000000000000000000000012 -S3154002C6E00000000000000000000000000000000002 -S3154002C6F000000000000000000000000000000000F2 -S3154002C70000000000000000000000000000000000E1 -S3154002C71000000000000000000000000000000000D1 -S3154002C72000000000000000000000000000000000C1 -S3154002C73000000000000000000000000000000000B1 -S3154002C74000000000000000000000000000000000A1 -S3154002C7500000000000000000000000000000000091 -S3154002C7600000000000000000000000000000000081 -S3154002C7700000000000000000000000000000000071 -S3154002C7800000000000000000000000000000000061 -S3154002C7900000000000000000000000000000000051 -S3154002C7A00000000000000000000000000000000041 -S3154002C7B00000000000000000000000000000000031 -S3154002C7C00000000000000000000000000000000021 -S3154002C7D00000000000000000000000000000000011 -S3154002C7E00000000000000000000000000000000001 -S3154002C7F000000000000000000000000000000000F1 -S3154002C80000000000000000000000000000000000E0 -S3154002C81000000000000000000000000000000000D0 -S3154002C82000000000000000000000000000000000C0 -S3154002C83000000000000000000000000000000000B0 -S3154002C84000000000000000000000000000000000A0 -S3154002C8500000000000000000000000000000000090 -S3154002C8600000000000000000000000000000000080 -S3154002C8700000000000000000000000000000000070 -S3154002C8800000000000000000000000000000000060 -S3154002C8900000000000000000000000000000000050 -S3154002C8A00000000000000000000000000000000040 -S3154002C8B00000000000000000000000000000000030 -S3154002C8C00000000000000000000000000000000020 -S3154002C8D00000000000000000000000000000000010 -S3154002C8E00000000000000000000000000000000000 -S3154002C8F000000000000000000000000000000000F0 -S3154002C90000000000000000000000000000000000DF -S3154002C91000000000000000000000000000000000CF -S3154002C92000000000000000000000000000000000BF -S3154002C93000000000000000000000000000000000AF -S3154002C940000000000000000000000000000000009F -S3154002C950000000000000000000000000000000008F -S3154002C960000000000000000000000000000000007F -S3154002C970000000000000000000000000000000006F -S3154002C980000000000000000000000000000000005F -S3154002C990000000000000000000000000000000004F -S3154002C9A0000000000000000000000000000000003F -S3154002C9B0000000000000000000000000000000002F -S3154002C9C0000000000000000000000000000000001F -S3154002C9D0000000000000000000000000000000000F -S3154002C9E000000000000000000000000000000000FF -S3154002C9F000000000000000000000000000000000EF -S3154002CA0000000000000000000000000000000000DE -S3154002CA1000000000000000000000000000000000CE -S3154002CA2000000000000000000000000000000000BE -S3154002CA3000000000000000000000000000000000AE -S3154002CA40000000000000000000000000000000009E -S3154002CA50000000000000000000000000000000008E -S3154002CA60000000000000000000000000000000007E -S3154002CA70000000000000000000000000000000006E -S3154002CA80000000000000000000000000000000005E -S3154002CA90000000000000000000000000000000004E -S3154002CAA0000000000000000000000000000000003E -S3154002CAB0000000000000000000000000000000002E -S3154002CAC0000000000000000000000000000000001E -S3154002CAD0000000000000000000000000000000000E -S3154002CAE000000000000000000000000000000000FE -S3154002CAF000000000000000000000000000000000EE -S3154002CB0000000000000000000000000000000000DD -S3154002CB1000000000000000000000000000000000CD -S3154002CB2000000000000000000000000000000000BD -S3154002CB3000000000000000000000000000000000AD -S3154002CB40000000000000000000000000000000009D -S3154002CB50000000000000000000000000000000008D -S3154002CB60000000000000000000000000000000007D -S3154002CB70000000000000000000000000000000006D -S3154002CB80000000000000000000000000000000005D -S3154002CB90000000000000000000000000000000004D -S3154002CBA0000000000000000000000000000000003D -S3154002CBB0000000000000000000000000000000002D -S3154002CBC0000000000000000000000000000000001D -S3154002CBD0000000000000000000000000000000000D -S3154002CBE000000000000000000000000000000000FD -S3154002CBF000000000000000000000000000000000ED -S3154002CC0000000000000000000000000000000000DC -S3154002CC1000000000000000000000000000000000CC -S3154002CC2000000000000000000000000000000000BC -S3154002CC3000000000000000000000000000000000AC -S3154002CC40000000000000000000000000000000009C -S3154002CC50000000000000000000000000000000008C -S3154002CC60000000000000000000000000000000007C -S3154002CC70000000000000000000000000000000006C -S3154002CC80000000000000000000000000000000005C -S3154002CC90000000000000000000000000000000004C -S3154002CCA0000000000000000000000000000000003C -S3154002CCB0000000000000000000000000000000002C -S3154002CCC0000000000000000000000000000000001C -S3154002CCD0000000000000000000000000000000000C -S3154002CCE000000000000000000000000000000000FC -S3154002CCF000000000000000000000000000000000EC -S3154002CD0000000000000000000000000000000000DB -S3154002CD1000000000000000000000000000000000CB -S3154002CD2000000000000000000000000000000000BB -S3154002CD3000000000000000000000000000000000AB -S3154002CD40000000000000000000000000000000009B -S3154002CD50000000000000000000000000000000008B -S3154002CD60000000000000000000000000000000007B -S3154002CD70000000000000000000000000000000006B -S3154002CD80000000000000000000000000000000005B -S3154002CD90000000000000000000000000000000004B -S3154002CDA0000000000000000000000000000000003B -S3154002CDB0000000000000000000000000000000002B -S3154002CDC0000000000000000000000000000000001B -S3154002CDD0000000000000000000000000000000000B -S3154002CDE000000000000000000000000000000000FB -S3154002CDF000000000000000000000000000000000EB -S3154002CE0000000000000000000000000000000000DA -S3154002CE1000000000000000000000000000000000CA -S3154002CE2000000000000000000000000000000000BA -S3154002CE3000000000000000000000000000000000AA -S3154002CE40000000000000000000000000000000009A -S3154002CE50000000000000000000000000000000008A -S3154002CE60000000000000000000000000000000007A -S3154002CE70000000000000000000000000000000006A -S3154002CE80000000000000000000000000000000005A -S3154002CE90000000000000000000000000000000004A -S3154002CEA0000000000000000000000000000000003A -S3154002CEB0000000000000000000000000000000002A -S3154002CEC0000000000000000000000000000000001A -S3154002CED0000000000000000000000000000000000A -S3154002CEE000000000000000000000000000000000FA -S3154002CEF000000000000000000000000000000000EA -S3154002CF0000000000000000000000000000000000D9 -S3154002CF1000000000000000000000000000000000C9 -S3154002CF2000000000000000000000000000000000B9 -S3154002CF3000000000000000000000000000000000A9 -S3154002CF400000000000000000000000000000000099 -S3154002CF500000000000000000000000000000000089 -S3154002CF600000000000000000000000000000000079 -S3154002CF700000000000000000000000000000000069 -S3154002CF800000000000000000000000000000000059 -S3154002CF900000000000000000000000000000000049 -S3154002CFA00000000000000000000000000000000039 -S3154002CFB00000000000000000000000000000000029 -S3154002CFC00000000000000000000000000000000019 -S3154002CFD00000000000000000000000000000000009 -S3154002CFE000000000000000000000000000000000F9 -S3154002CFF000000000000000000000000000000000E9 -S3154002D00000000000000000000000000000000000D8 -S3154002D01000000000000000000000000000000000C8 -S3154002D02000000000000000000000000000000000B8 -S3154002D03000000000000000000000000000000000A8 -S3154002D0400000000000000000000000000000000098 -S3154002D0500000000000000000000000000000000088 -S3154002D0600000000000000000000000000000000078 -S3154002D0700000000000000000000000000000000068 -S3154002D0800000000000000000000000000000000058 -S3154002D0900000000000000000000000000000000048 -S3154002D0A00000000000000000000000000000000038 -S3154002D0B00000000000000000000000000000000028 -S3154002D0C00000000000000000000000000000000018 -S3154002D0D00000000000000000000000000000000008 -S3154002D0E000000000000000000000000000000000F8 -S3154002D0F000000000000000000000000000000000E8 -S3154002D10000000000000000000000000000000000D7 -S3154002D11000000000000000000000000000000000C7 -S3154002D12000000000000000000000000000000000B7 -S3154002D13000000000000000000000000000000000A7 -S3154002D1400000000000000000000000000000000097 -S3154002D1500000000000000000000000000000000087 -S3154002D1600000000000000000000000000000000077 -S3154002D1700000000000000000000000000000000067 -S3154002D1800000000000000000000000000000000057 -S3154002D1900000000000000000000000000000000047 -S3154002D1A00000000000000000000000000000000037 -S3154002D1B00000000000000000000000000000000027 -S3154002D1C00000000000000000000000000000000017 -S3154002D1D00000000000000000000000000000000007 -S3154002D1E000000000000000000000000000000000F7 -S3154002D1F000000000000000000000000000000000E7 -S3154002D20000000000000000000000000000000000D6 -S3154002D21000000000000000000000000000000000C6 -S3154002D22000000000000000000000000000000000B6 -S3154002D23000000000000000000000000000000000A6 -S3154002D2400000000000000000000000000000000096 -S3154002D2500000000000000000000000000000000086 -S3154002D2600000000000000000000000000000000076 -S3154002D2700000000000000000000000000000000066 -S3154002D2800000000000000000000000000000000056 -S3154002D2900000000000000000000000000000000046 -S3154002D2A00000000000000000000000000000000036 -S3154002D2B00000000000000000000000000000000026 -S3154002D2C00000000000000000000000000000000016 -S3154002D2D00000000000000000000000000000000006 -S3154002D2E000000000000000000000000000000000F6 -S3154002D2F000000000000000000000000000000000E6 -S3154002D30000000000000000000000000000000000D5 -S3154002D31000000000000000000000000000000000C5 -S3154002D32000000000000000000000000000000000B5 -S3154002D33000000000000000000000000000000000A5 -S3154002D3400000000000000000000000000000000095 -S3154002D3500000000000000000000000000000000085 -S3154002D3600000000000000000000000000000000075 -S3154002D3700000000000000000000000000000000065 -S3154002D3800000000000000000000000000000000055 -S3154002D3900000000000000000000000000000000045 -S3154002D3A00000000000000000000000000000000035 -S3154002D3B00000000000000000000000000000000025 -S3154002D3C00000000000000000000000000000000015 -S3154002D3D00000000000000000000000000000000005 -S3154002D3E000000000000000000000000000000000F5 -S3154002D3F000000000000000000000000000000000E5 -S3154002D40000000000000000000000000000000000D4 -S3154002D41000000000000000000000000000000000C4 -S3154002D42000000000000000000000000000000000B4 -S3154002D43000000000000000000000000000000000A4 -S3154002D4400000000000000000000000000000000094 -S3154002D4500000000000000000000000000000000084 -S3154002D4600000000000000000000000000000000074 -S3154002D4700000000000000000000000000000000064 -S3154002D4800000000000000000000000000000000054 -S3154002D4900000000000000000000000000000000044 -S3154002D4A00000000000000000000000000000000034 -S3154002D4B00000000000000000000000000000000024 -S3154002D4C00000000000000000000000000000000014 -S3154002D4D00000000000000000000000000000000004 -S3154002D4E000000000000000000000000000000000F4 -S3154002D4F000000000000000000000000000000000E4 -S3154002D50000000000000000000000000000000000D3 -S3154002D51000000000000000000000000000000000C3 -S3154002D52000000000000000000000000000000000B3 -S3154002D53000000000000000000000000000000000A3 -S3154002D5400000000000000000000000000000000093 -S3154002D5500000000000000000000000000000000083 -S3154002D5600000000000000000000000000000000073 -S3154002D5700000000000000000000000000000000063 -S3154002D5800000000000000000000000000000000053 -S3154002D5900000000000000000000000000000000043 -S3154002D5A00000000000000000000000000000000033 -S3154002D5B00000000000000000000000000000000023 -S3154002D5C00000000000000000000000000000000013 -S3154002D5D00000000000000000000000000000000003 -S3154002D5E000000000000000000000000000000000F3 -S3154002D5F000000000000000000000000000000000E3 -S3154002D60000000000000000000000000000000000D2 -S3154002D61000000000000000000000000000000000C2 -S3154002D62000000000000000000000000000000000B2 -S3154002D63000000000000000000000000000000000A2 -S3154002D6400000000000000000000000000000000092 -S3154002D6500000000000000000000000000000000082 -S3154002D6600000000000000000000000000000000072 -S3154002D6700000000000000000000000000000000062 -S3154002D6800000000000000000000000000000000052 -S3154002D6900000000000000000000000000000000042 -S3154002D6A00000000000000000000000000000000032 -S3154002D6B00000000000000000000000000000000022 -S3154002D6C00000000000000000000000000000000012 -S3154002D6D00000000000000000000000000000000002 -S3154002D6E000000000000000000000000000000000F2 -S3154002D6F000000000000000000000000000000000E2 -S3154002D70000000000000000000000000000000000D1 -S3154002D71000000000000000000000000000000000C1 -S3154002D72000000000000000000000000000000000B1 -S3154002D73000000000000000000000000000000000A1 -S3154002D7400000000000000000000000000000000091 -S3154002D7500000000000000000000000000000000081 -S3154002D7600000000000000000000000000000000071 -S3154002D7700000000000000000000000000000000061 -S3154002D7800000000000000000000000000000000051 -S3154002D7900000000000000000000000000000000041 -S3154002D7A00000000000000000000000000000000031 -S3154002D7B00000000000000000000000000000000021 -S3154002D7C00000000000000000000000000000000011 -S3154002D7D00000000000000000000000000000000001 -S3154002D7E000000000000000000000000000000000F1 -S3154002D7F000000000000000000000000000000000E1 -S3154002D80000000000000000000000000000000000D0 -S3154002D81000000000000000000000000000000000C0 -S3154002D82000000000000000000000000000000000B0 -S3154002D83000000000000000000000000000000000A0 -S3154002D8400000000000000000000000000000000090 -S3154002D8500000000000000000000000000000000080 -S3154002D8600000000000000000000000000000000070 -S3154002D8700000000000000000000000000000000060 -S3154002D8800000000000000000000000000000000050 -S3154002D8900000000000000000000000000000000040 -S3154002D8A00000000000000000000000000000000030 -S3154002D8B00000000000000000000000000000000020 -S3154002D8C00000000000000000000000000000000010 -S3154002D8D00000000000000000000000000000000000 -S3154002D8E000000000000000000000000000000000F0 -S3154002D8F000000000000000000000000000000000E0 -S3154002D90000000000000000000000000000000000CF -S3154002D91000000000000000000000000000000000BF -S3154002D92000000000000000000000000000000000AF -S3154002D930000000000000000000000000000000009F -S3154002D940000000000000000000000000000000008F -S3154002D950000000000000000000000000000000007F -S3154002D960000000000000000000000000000000006F -S3154002D970000000000000000000000000000000005F -S3154002D980000000000000000000000000000000004F -S3154002D990000000000000000000000000000000003F -S3154002D9A0000000000000000000000000000000002F -S3154002D9B0000000000000000000000000000000001F -S3154002D9C0000000000000000000000000000000000F -S3154002D9D000000000000000000000000000000000FF -S3154002D9E000000000000000000000000000000000EF -S3154002D9F000000000000000000000000000000000DF -S3154002DA0000000000000000000000000000000000CE -S3154002DA1000000000000000000000000000000000BE -S3154002DA2000000000000000000000000000000000AE -S3154002DA30000000000000000000000000000000009E -S3154002DA40000000000000000000000000000000008E -S3154002DA50000000000000000000000000000000007E -S3154002DA60000000000000000000000000000000006E -S3154002DA70000000000000000000000000000000005E -S3154002DA80000000000000000000000000000000004E -S3154002DA90000000000000000000000000000000003E -S3154002DAA0000000000000000000000000000000002E -S3154002DAB0000000000000000000000000000000001E -S3154002DAC0000000000000000000000000000000000E -S3154002DAD000000000000000000000000000000000FE -S3154002DAE000000000000000000000000000000000EE -S3154002DAF000000000000000000000000000000000DE -S3154002DB0000000000000000000000000000000000CD -S3154002DB1000000000000000000000000000000000BD -S3154002DB2000000000000000000000000000000000AD -S3154002DB30000000000000000000000000000000009D -S3154002DB40000000000000000000000000000000008D -S3154002DB50000000000000000000000000000000007D -S3154002DB60000000000000000000000000000000006D -S3154002DB70000000000000000000000000000000005D -S3154002DB80000000000000000000000000000000004D -S3154002DB90000000000000000000000000000000003D -S3154002DBA0000000000000000000000000000000002D -S3154002DBB0000000000000000000000000000000001D -S3154002DBC0000000000000000000000000000000000D -S3154002DBD000000000000000000000000000000000FD -S3154002DBE000000000000000000000000000000000ED -S3154002DBF000000000000000000000000000000000DD -S3154002DC0000000000000000000000000000000000CC -S3154002DC1000000000000000000000000000000000BC -S3154002DC2000000000000000000000000000000000AC -S3154002DC30000000000000000000000000000000009C -S3154002DC40000000000000000000000000000000008C -S3154002DC50000000000000000000000000000000007C -S3154002DC60000000000000000000000000000000006C -S3154002DC70000000000000000000000000000000005C -S3154002DC80000000000000000000000000000000004C -S3154002DC90000000000000000000000000000000003C -S3154002DCA0000000000000000000000000000000002C -S3154002DCB0000000000000000000000000000000001C -S3154002DCC0000000000000000000000000000000000C -S3154002DCD000000000000000000000000000000000FC -S3154002DCE000000000000000000000000000000000EC -S3154002DCF000000000000000000000000000000000DC -S3154002DD0000000000000000000000000000000000CB -S3154002DD1000000000000000000000000000000000BB -S3154002DD2000000000000000000000000000000000AB -S3154002DD30000000000000000000000000000000009B -S3154002DD40000000000000000000000000000000008B -S3154002DD50000000000000000000000000000000007B -S3154002DD60000000000000000000000000000000006B -S3154002DD70000000000000000000000000000000005B -S3154002DD80000000000000000000000000000000004B -S3154002DD90000000000000000000000000000000003B -S3154002DDA0000000000000000000000000000000002B -S3154002DDB0000000000000000000000000000000001B -S3154002DDC0000000000000000000000000000000000B -S3154002DDD000000000000000000000000000000000FB -S3154002DDE000000000000000000000000000000000EB -S3154002DDF000000000000000000000000000000000DB -S3154002DE0000000000000000000000000000000000CA -S3154002DE1000000000000000000000000000000000BA -S3154002DE2000000000000000000000000000000000AA -S3154002DE30000000000000000000000000000000009A -S3154002DE40000000000000000000000000000000008A -S3154002DE50000000000000000000000000000000007A -S3154002DE60000000000000000000000000000000006A -S3154002DE70000000000000000000000000000000005A -S3154002DE80000000000000000000000000000000004A -S3154002DE90000000000000000000000000000000003A -S3154002DEA0000000000000000000000000000000002A -S3154002DEB0000000000000000000000000000000001A -S3154002DEC0000000000000000000000000000000000A -S3154002DED000000000000000000000000000000000FA -S3154002DEE000000000000000000000000000000000EA -S3154002DEF000000000000000000000000000000000DA -S3154002DF0000000000000000000000000000000000C9 -S3154002DF1000000000000000000000000000000000B9 -S3154002DF2000000000000000000000000000000000A9 -S3154002DF300000000000000000000000000000000099 -S3154002DF400000000000000000000000000000000089 -S3154002DF500000000000000000000000000000000079 -S3154002DF600000000000000000000000000000000069 -S3154002DF700000000000000000000000000000000059 -S3154002DF800000000000000000000000000000000049 -S3154002DF900000000000000000000000000000000039 -S3154002DFA00000000000000000000000000000000029 -S3154002DFB00000000000000000000000000000000019 -S3154002DFC00000000000000000000000000000000009 -S3154002DFD000000000000000000000000000000000F9 -S3154002DFE000000000000000000000000000000000E9 -S3154002DFF000000000000000000000000000000000D9 -S3154002E00000000000000000000000000000000000C8 -S3154002E01000000000000000000000000000000000B8 -S3154002E02000000000000000000000000000000000A8 -S3154002E0300000000000000000000000000000000098 -S3154002E0400000000000000000000000000000000088 -S3154002E0500000000000000000000000000000000078 -S3154002E0600000000000000000000000000000000068 -S3154002E0700000000000000000000000000000000058 -S3154002E0800000000000000000000000000000000048 -S3154002E0900000000000000000000000000000000038 -S3154002E0A00000000000000000000000000000000028 -S3154002E0B00000000000000000000000000000000018 -S3154002E0C00000000000000000000000000000000008 -S3154002E0D000000000000000000000000000000000F8 -S3154002E0E000000000000000000000000000000000E8 -S3154002E0F000000000000000000000000000000000D8 -S3154002E10000000000000000000000000000000000C7 -S3154002E11000000000000000000000000000000000B7 -S3154002E12000000000000000000000000000000000A7 -S3154002E1300000000000000000000000000000000097 -S3154002E1400000000000000000000000000000000087 -S3154002E1500000000000000000000000000000000077 -S3154002E1600000000000000000000000000000000067 -S3154002E1700000000000000000000000000000000057 -S3154002E1800000000000000000000000000000000047 -S3154002E1900000000000000000000000000000000037 -S3154002E1A00000000000000000000000000000000027 -S3154002E1B00000000000000000000000000000000017 -S3154002E1C00000000000000000000000000000000007 -S3154002E1D000000000000000000000000000000000F7 -S3154002E1E000000000000000000000000000000000E7 -S3154002E1F000000000000000000000000000000000D7 -S3154002E20000000000000000000000000000000000C6 -S3154002E21000000000000000000000000000000000B6 -S3154002E22000000000000000000000000000000000A6 -S3154002E2300000000000000000000000000000000096 -S3154002E2400000000000000000000000000000000086 -S3154002E2500000000000000000000000000000000076 -S3154002E2600000000000000000000000000000000066 -S3154002E2700000000000000000000000000000000056 -S3154002E2800000000000000000000000000000000046 -S3154002E2900000000000000000000000000000000036 -S3154002E2A00000000000000000000000000000000026 -S3154002E2B00000000000000000000000000000000016 -S3154002E2C00000000000000000000000000000000006 -S3154002E2D000000000000000000000000000000000F6 -S3154002E2E000000000000000000000000000000000E6 -S3154002E2F000000000000000000000000000000000D6 -S3154002E30000000000000000000000000000000000C5 -S3154002E31000000000000000000000000000000000B5 -S3154002E32000000000000000000000000000000000A5 -S3154002E3300000000000000000000000000000000095 -S3154002E3400000000000000000000000000000000085 -S3154002E3500000000000000000000000000000000075 -S3154002E3600000000000000000000000000000000065 -S3154002E3700000000000000000000000000000000055 -S3154002E3800000000000000000000000000000000045 -S3154002E3900000000000000000000000000000000035 -S3154002E3A00000000000000000000000000000000025 -S3154002E3B00000000000000000000000000000000015 -S3154002E3C00000000000000000000000000000000005 -S3154002E3D000000000000000000000000000000000F5 -S3154002E3E000000000000000000000000000000000E5 -S3154002E3F000000000000000000000000000000000D5 -S3154002E40000000000000000000000000000000000C4 -S3154002E41000000000000000000000000000000000B4 -S3154002E42000000000000000000000000000000000A4 -S3154002E4300000000000000000000000000000000094 -S3154002E4400000000000000000000000000000000084 -S3154002E4500000000000000000000000000000000074 -S3154002E4600000000000000000000000000000000064 -S3154002E4700000000000000000000000000000000054 -S3154002E4800000000000000000000000000000000044 -S3154002E4900000000000000000000000000000000034 -S3154002E4A00000000000000000000000000000000024 -S3154002E4B00000000000000000000000000000000014 -S3154002E4C00000000000000000000000000000000004 -S3154002E4D000000000000000000000000000000000F4 -S3154002E4E000000000000000000000000000000000E4 -S3154002E4F000000000000000000000000000000000D4 -S3154002E50000000000000000000000000000000000C3 -S3154002E51000000000000000000000000000000000B3 -S3154002E52000000000000000000000000000000000A3 -S3154002E5300000000000000000000000000000000093 -S3154002E5400000000000000000000000000000000083 -S3154002E5500000000000000000000000000000000073 -S3154002E5600000000000000000000000000000000063 -S3154002E5700000000000000000000000000000000053 -S3154002E5800000000000000000000000000000000043 -S3154002E5900000000000000000000000000000000033 -S3154002E5A00000000000000000000000000000000023 -S3154002E5B00000000000000000000000000000000013 -S3154002E5C00000000000000000000000000000000003 -S3154002E5D000000000000000000000000000000000F3 -S3154002E5E000000000000000000000000000000000E3 -S3154002E5F000000000000000000000000000000000D3 -S3154002E60000000000000000000000000000000000C2 -S3154002E61000000000000000000000000000000000B2 -S3154002E62000000000000000000000000000000000A2 -S3154002E6300000000000000000000000000000000092 -S3154002E6400000000000000000000000000000000082 -S3154002E6500000000000000000000000000000000072 -S3154002E6600000000000000000000000000000000062 -S3154002E6700000000000000000000000000000000052 -S3154002E6800000000000000000000000000000000042 -S3154002E6900000000000000000000000000000000032 -S3154002E6A00000000000000000000000000000000022 -S3154002E6B00000000000000000000000000000000012 -S3154002E6C00000000000000000000000000000000002 -S3154002E6D000000000000000000000000000000000F2 -S3154002E6E000000000000000000000000000000000E2 -S3154002E6F000000000000000000000000000000000D2 -S3154002E70000000000000000000000000000000000C1 -S3154002E71000000000000000000000000000000000B1 -S3154002E72000000000000000000000000000000000A1 -S3154002E7300000000000000000000000000000000091 -S3154002E7400000000000000000000000000000000081 -S3154002E7500000000000000000000000000000000071 -S3154002E7600000000000000000000000000000000061 -S3154002E7700000000000000000000000000000000051 -S3154002E7800000000000000000000000000000000041 -S3154002E7900000000000000000000000000000000031 -S3154002E7A00000000000000000000000000000000021 -S3154002E7B00000000000000000000000000000000011 -S3154002E7C00000000000000000000000000000000001 -S3154002E7D000000000000000000000000000000000F1 -S3154002E7E000000000000000000000000000000000E1 -S3154002E7F000000000000000000000000000000000D1 -S3154002E80000000000000000000000000000000000C0 -S3154002E81000000000000000000000000000000000B0 -S3154002E82000000000000000000000000000000000A0 -S3154002E8300000000000000000000000000000000090 -S3154002E8400000000000000000000000000000000080 -S3154002E8500000000000000000000000000000000070 -S3154002E8600000000000000000000000000000000060 -S3154002E8700000000000000000000000000000000050 -S3154002E8800000000000000000000000000000000040 -S3154002E8900000000000000000000000000000000030 -S3154002E8A00000000000000000000000000000000020 -S3154002E8B00000000000000000000000000000000010 -S3154002E8C00000000000000000000000000000000000 -S3154002E8D000000000000000000000000000000000F0 -S3154002E8E000000000000000000000000000000000E0 -S3154002E8F000000000000000000000000000000000D0 -S3154002E90000000000000000000000000000000000BF -S3154002E91000000000000000000000000000000000AF -S3154002E920000000000000000000000000000000009F -S3154002E930000000000000000000000000000000008F -S3154002E940000000000000000000000000000000007F -S3154002E950000000000000000000000000000000006F -S3154002E960000000000000000000000000000000005F -S3154002E970000000000000000000000000000000004F -S3154002E980000000000000000000000000000000003F -S3154002E990000000000000000000000000000000002F -S3154002E9A0000000000000000000000000000000001F -S3154002E9B0000000000000000000000000000000000F -S3154002E9C000000000000000000000000000000000FF -S3154002E9D000000000000000000000000000000000EF -S3154002E9E000000000000000000000000000000000DF -S3154002E9F000000000000000000000000000000000CF -S3154002EA0000000000000000000000000000000000BE -S3154002EA1000000000000000000000000000000000AE -S3154002EA20000000000000000000000000000000009E -S3154002EA30000000000000000000000000000000008E -S3154002EA40000000000000000000000000000000007E -S3154002EA50000000000000000000000000000000006E -S3154002EA60000000000000000000000000000000005E -S3154002EA70000000000000000000000000000000004E -S3154002EA80000000000000000000000000000000003E -S3154002EA90000000000000000000000000000000002E -S3154002EAA0000000000000000000000000000000001E -S3154002EAB0000000000000000000000000000000000E -S3154002EAC000000000000000000000000000000000FE -S3154002EAD000000000000000000000000000000000EE -S3154002EAE000000000000000000000000000000000DE -S3154002EAF000000000000000000000000000000000CE -S3154002EB0000000000000000000000000000000000BD -S3154002EB1000000000000000000000000000000000AD -S3154002EB20000000000000000000000000000000009D -S3154002EB30000000000000000000000000000000008D -S3154002EB40000000000000000000000000000000007D -S3154002EB50000000000000000000000000000000006D -S3154002EB60000000000000000000000000000000005D -S3154002EB70000000000000000000000000000000004D -S3154002EB80000000000000000000000000000000003D -S3154002EB90000000000000000000000000000000002D -S3154002EBA0000000000000000000000000000000001D -S3154002EBB0000000000000000000000000000000000D -S3154002EBC000000000000000000000000000000000FD -S3154002EBD000000000000000000000000000000000ED -S3154002EBE000000000000000000000000000000000DD -S3154002EBF000000000000000000000000000000000CD -S3154002EC0000000000000000000000000000000000BC -S3154002EC1000000000000000000000000000000000AC -S3154002EC20000000000000000000000000000000009C -S3154002EC30000000000000000000000000000000008C -S3154002EC40000000000000000000000000000000007C -S3154002EC50000000000000000000000000000000006C -S3154002EC60000000000000000000000000000000005C -S3154002EC70000000000000000000000000000000004C -S3154002EC80000000000000000000000000000000003C -S3154002EC90000000000000000000000000000000002C -S3154002ECA0000000000000000000000000000000001C -S3154002ECB0000000000000000000000000000000000C -S3154002ECC000000000000000000000000000000000FC -S3154002ECD000000000000000000000000000000000EC -S3154002ECE000000000000000000000000000000000DC -S3154002ECF000000000000000000000000000000000CC -S3154002ED0000000000000000000000000000000000BB -S3154002ED1000000000000000000000000000000000AB -S3154002ED20000000000000000000000000000000009B -S3154002ED30000000000000000000000000000000008B -S3154002ED40000000000000000000000000000000007B -S3154002ED50000000000000000000000000000000006B -S3154002ED60000000000000000000000000000000005B -S3154002ED70000000000000000000000000000000004B -S3154002ED80000000000000000000000000000000003B -S3154002ED90000000000000000000000000000000002B -S3154002EDA0000000000000000000000000000000001B -S3154002EDB0000000000000000000000000000000000B -S3154002EDC000000000000000000000000000000000FB -S3154002EDD000000000000000000000000000000000EB -S3154002EDE000000000000000000000000000000000DB -S3154002EDF000000000000000000000000000000000CB -S3154002EE0000000000000000000000000000000000BA -S3154002EE1000000000000000000000000000000000AA -S3154002EE20000000000000000000000000000000009A -S3154002EE30000000000000000000000000000000008A -S3154002EE40000000000000000000000000000000007A -S3154002EE50000000000000000000000000000000006A -S3154002EE60000000000000000000000000000000005A -S3154002EE70000000000000000000000000000000004A -S3154002EE80000000000000000000000000000000003A -S3154002EE90000000000000000000000000000000002A -S3154002EEA0000000000000000000000000000000001A -S3154002EEB0000000000000000000000000000000000A -S3154002EEC000000000000000000000000000000000FA -S3154002EED000000000000000000000000000000000EA -S3154002EEE000000000000000000000000000000000DA -S3154002EEF000000000000000000000000000000000CA -S3154002EF0000000000000000000000000000000000B9 -S3154002EF1000000000000000000000000000000000A9 -S3154002EF200000000000000000000000000000000099 -S3154002EF300000000000000000000000000000000089 -S3154002EF400000000000000000000000000000000079 -S3154002EF500000000000000000000000000000000069 -S3154002EF600000000000000000000000000000000059 -S3154002EF700000000000000000000000000000000049 -S3154002EF800000000000000000000000000000000039 -S3154002EF900000000000000000000000000000000029 -S3154002EFA00000000000000000000000000000000019 -S3154002EFB00000000000000000000000000000000009 -S3154002EFC000000000000000000000000000000000F9 -S3154002EFD000000000000000000000000000000000E9 -S3154002EFE000000000000000000000000000000000D9 -S3154002EFF000000000000000000000000000000000C9 -S3154002F00000000000000000000000000000000000B8 -S3154002F01000000000000000000000000000000000A8 -S3154002F0200000000000000000000000000000000098 -S3154002F0300000000000000000000000000000000088 -S3154002F0400000000000000000000000000000000078 -S3154002F0500000000000000000000000000000000068 -S3154002F0600000000000000000000000000000000058 -S3154002F0700000000000000000000000000000000048 -S3154002F0800000000000000000000000000000000038 -S3154002F0900000000000000000000000000000000028 -S3154002F0A00000000000000000000000000000000018 -S3154002F0B00000000000000000000000000000000008 -S3154002F0C000000000000000000000000000000000F8 -S3154002F0D000000000000000000000000000000000E8 -S3154002F0E000000000000000000000000000000000D8 -S3154002F0F000000000000000000000000000000000C8 -S3154002F10000000000000000000000000000000000B7 -S3154002F11000000000000000000000000000000000A7 -S3154002F1200000000000000000000000000000000097 -S3154002F1300000000000000000000000000000000087 -S3154002F1400000000000000000000000000000000077 -S3154002F1500000000000000000000000000000000067 -S3154002F1600000000000000000000000000000000057 -S3154002F1700000000000000000000000000000000047 -S3154002F1800000000000000000000000000000000037 -S3154002F1900000000000000000000000000000000027 -S3154002F1A00000000000000000000000000000000017 -S3154002F1B00000000000000000000000000000000007 -S3154002F1C000000000000000000000000000000000F7 -S3154002F1D000000000000000000000000000000000E7 -S3154002F1E000000000000000000000000000000000D7 -S3154002F1F000000000000000000000000000000000C7 -S3154002F20000000000000000000000000000000000B6 -S3154002F21000000000000000000000000000000000A6 -S3154002F2200000000000000000000000000000000096 -S3154002F2300000000000000000000000000000000086 -S3154002F2400000000000000000000000000000000076 -S3154002F2500000000000000000000000000000000066 -S3154002F2600000000000000000000000000000000056 -S3154002F2700000000000000000000000000000000046 -S3154002F2800000000000000000000000000000000036 -S3154002F2900000000000000000000000000000000026 -S3154002F2A00000000000000000000000000000000016 -S3154002F2B00000000000000000000000000000000006 -S3154002F2C000000000000000000000000000000000F6 -S3154002F2D000000000000000000000000000000000E6 -S3154002F2E000000000000000000000000000000000D6 -S3154002F2F000000000000000000000000000000000C6 -S3154002F30000000000000000000000000000000000B5 -S3154002F31000000000000000000000000000000000A5 -S3154002F3200000000000000000000000000000000095 -S3154002F3300000000000000000000000000000000085 -S3154002F3400000000000000000000000000000000075 -S3154002F3500000000000000000000000000000000065 -S3154002F3600000000000000000000000000000000055 -S3154002F3700000000000000000000000000000000045 -S3154002F3800000000000000000000000000000000035 -S3154002F3900000000000000000000000000000000025 -S3154002F3A00000000000000000000000000000000015 -S3154002F3B00000000000000000000000000000000005 -S3154002F3C000000000000000000000000000000000F5 -S3154002F3D000000000000000000000000000000000E5 -S3154002F3E000000000000000000000000000000000D5 -S3154002F3F000000000000000000000000000000000C5 -S3154002F40000000000000000000000000000000000B4 -S3154002F41000000000000000000000000000000000A4 -S3154002F4200000000000000000000000000000000094 -S3154002F4300000000000000000000000000000000084 -S3154002F4400000000000000000000000000000000074 -S3154002F4500000000000000000000000000000000064 -S3154002F4600000000000000000000000000000000054 -S3154002F4700000000000000000000000000000000044 -S3154002F4800000000000000000000000000000000034 -S3154002F4900000000000000000000000000000000024 -S3154002F4A00000000000000000000000000000000014 -S3154002F4B00000000000000000000000000000000004 -S3154002F4C000000000000000000000000000000000F4 -S3154002F4D000000000000000000000000000000000E4 -S3154002F4E000000000000000000000000000000000D4 -S3154002F4F000000000000000000000000000000000C4 -S3154002F50000000000000000000000000000000000B3 -S3154002F51000000000000000000000000000000000A3 -S3154002F5200000000000000000000000000000000093 -S3154002F5300000000000000000000000000000000083 -S3154002F5400000000000000000000000000000000073 -S3154002F5500000000000000000000000000000000063 -S3154002F5600000000000000000000000000000000053 -S3154002F5700000000000000000000000000000000043 -S3154002F5800000000000000000000000000000000033 -S3154002F5900000000000000000000000000000000023 -S3154002F5A00000000000000000000000000000000013 -S3154002F5B00000000000000000000000000000000003 -S3154002F5C000000000000000000000000000000000F3 -S3154002F5D000000000000000000000000000000000E3 -S3154002F5E000000000000000000000000000000000D3 -S3154002F5F000000000000000000000000000000000C3 -S3154002F60000000000000000000000000000000000B2 -S3154002F61000000000000000000000000000000000A2 -S3154002F6200000000000000000000000000000000092 -S3154002F6300000000000000000000000000000000082 -S3154002F6400000000000000000000000000000000072 -S3154002F6500000000000000000000000000000000062 -S3154002F6600000000000000000000000000000000052 -S3154002F6700000000000000000000000000000000042 -S3154002F6800000000000000000000000000000000032 -S3154002F6900000000000000000000000000000000022 -S3154002F6A00000000000000000000000000000000012 -S3154002F6B00000000000000000000000000000000002 -S3154002F6C000000000000000000000000000000000F2 -S3154002F6D000000000000000000000000000000000E2 -S3154002F6E000000000000000000000000000000000D2 -S3154002F6F000000000000000000000000000000000C2 -S3154002F70000000000000000000000000000000000B1 -S3154002F71000000000000000000000000000000000A1 -S3154002F7200000000000000000000000000000000091 -S3154002F7300000000000000000000000000000000081 -S3154002F7400000000000000000000000000000000071 -S3154002F7500000000000000000000000000000000061 -S3154002F7600000000000000000000000000000000051 -S3154002F7700000000000000000000000000000000041 -S3154002F7800000000000000000000000000000000031 -S3154002F7900000000000000000000000000000000021 -S3154002F7A00000000000000000000000000000000011 -S3154002F7B00000000000000000000000000000000001 -S3154002F7C000000000000000000000000000000000F1 -S3154002F7D000000000000000000000000000000000E1 -S3154002F7E000000000000000000000000000000000D1 -S3154002F7F000000000000000000000000000000000C1 -S3154002F80000000000000000000000000000000000B0 -S3154002F81000000000000000000000000000000000A0 -S3154002F8200000000000000000000000000000000090 -S3154002F8300000000000000000000000000000000080 -S3154002F8400000000000000000000000000000000070 -S3154002F8500000000000000000000000000000000060 -S3154002F8600000000000000000000000000000000050 -S3154002F8700000000000000000000000000000000040 -S3154002F8800000000000000000000000000000000030 -S3154002F8900000000000000000000000000000000020 -S3154002F8A00000000000000000000000000000000010 -S3154002F8B00000000000000000000000000000000000 -S3154002F8C000000000000000000000000000000000F0 -S3154002F8D000000000000000000000000000000000E0 -S3154002F8E000000000000000000000000000000000D0 -S3154002F8F000000000000000000000000000000000C0 -S3154002F90000000000000000000000000000000000AF -S3154002F910000000000000000000000000000000009F -S3154002F920000000000000000000000000000000008F -S3154002F930000000000000000000000000000000007F -S3154002F940000000000000000000000000000000006F -S3154002F950000000000000000000000000000000005F -S3154002F960000000000000000000000000000000004F -S3154002F970000000000000000000000000000000003F -S3154002F980000000000000000000000000000000002F -S3154002F990000000000000000000000000000000001F -S3154002F9A0000000000000000000000000000000000F -S3154002F9B000000000000000000000000000000000FF -S3154002F9C000000000000000000000000000000000EF -S3154002F9D000000000000000000000000000000000DF -S3154002F9E000000000000000000000000000000000CF -S3154002F9F000000000000000000000000000000000BF -S3154002FA0000000000000000000000000000000000AE -S3154002FA10000000000000000000000000000000009E -S3154002FA20000000000000000000000000000000008E -S3154002FA30000000000000000000000000000000007E -S3154002FA40000000000000000000000000000000006E -S3154002FA50000000000000000000000000000000005E -S3154002FA60000000000000000000000000000000004E -S3154002FA70000000000000000000000000000000003E -S3154002FA80000000000000000000000000000000002E -S3154002FA90000000000000000000000000000000001E -S3154002FAA0000000000000000000000000000000000E -S3154002FAB000000000000000000000000000000000FE -S3154002FAC000000000000000000000000000000000EE -S3154002FAD000000000000000000000000000000000DE -S3154002FAE000000000000000000000000000000000CE -S3154002FAF000000000000000000000000000000000BE -S3154002FB0000000000000000000000000000000000AD -S3154002FB10000000000000000000000000000000009D -S3154002FB20000000000000000000000000000000008D -S3154002FB30000000000000000000000000000000007D -S3154002FB40000000000000000000000000000000006D -S3154002FB50000000000000000000000000000000005D -S3154002FB60000000000000000000000000000000004D -S3154002FB70000000000000000000000000000000003D -S3154002FB80000000000000000000000000000000002D -S3154002FB90000000000000000000000000000000001D -S3154002FBA0000000000000000000000000000000000D -S3154002FBB000000000000000000000000000000000FD -S3154002FBC000000000000000000000000000000000ED -S3154002FBD000000000000000000000000000000000DD -S3154002FBE000000000000000000000000000000000CD -S3154002FBF000000000000000000000000000000000BD -S3154002FC0000000000000000000000000000000000AC -S3154002FC10000000000000000000000000000000009C -S3154002FC20000000000000000000000000000000008C -S3154002FC30000000000000000000000000000000007C -S3154002FC40000000000000000000000000000000006C -S3154002FC50000000000000000000000000000000005C -S3154002FC60000000000000000000000000000000004C -S3154002FC70000000000000000000000000000000003C -S3154002FC80000000000000000000000000000000002C -S3154002FC90000000000000000000000000000000001C -S3154002FCA0000000000000000000000000000000000C -S3154002FCB000000000000000000000000000000000FC -S3154002FCC000000000000000000000000000000000EC -S3154002FCD000000000000000000000000000000000DC -S3154002FCE000000000000000000000000000000000CC -S3154002FCF000000000000000000000000000000000BC -S3154002FD0000000000000000000000000000000000AB -S3154002FD10000000000000000000000000000000009B -S3154002FD20000000000000000000000000000000008B -S3154002FD30000000000000000000000000000000007B -S3154002FD40000000000000000000000000000000006B -S3154002FD50000000000000000000000000000000005B -S3154002FD60000000000000000000000000000000004B -S3154002FD70000000000000000000000000000000003B -S3154002FD80000000000000000000000000000000002B -S3154002FD90000000000000000000000000000000001B -S3154002FDA0000000000000000000000000000000000B -S3154002FDB000000000000000000000000000000000FB -S3154002FDC000000000000000000000000000000000EB -S3154002FDD000000000000000000000000000000000DB -S3154002FDE000000000000000000000000000000000CB -S3154002FDF000000000000000000000000000000000BB -S3154002FE0000000000000000000000000000000000AA -S3154002FE10000000000000000000000000000000009A -S3154002FE20000000000000000000000000000000008A -S3154002FE30000000000000000000000000000000007A -S3154002FE40000000000000000000000000000000006A -S3154002FE50000000000000000000000000000000005A -S3154002FE60000000000000000000000000000000004A -S3154002FE70000000000000000000000000000000003A -S3154002FE80000000000000000000000000000000002A -S3154002FE90000000000000000000000000000000001A -S3154002FEA0000000000000000000000000000000000A -S3154002FEB000000000000000000000000000000000FA -S3154002FEC000000000000000000000000000000000EA -S3154002FED000000000000000000000000000000000DA -S3154002FEE000000000000000000000000000000000CA -S3154002FEF000000000000000000000000000000000BA -S3154002FF0000000000000000000000000000000000A9 -S3154002FF100000000000000000000000000000000099 -S3154002FF200000000000000000000000000000000089 -S3154002FF300000000000000000000000000000000079 -S3154002FF400000000000000000000000000000000069 -S3154002FF500000000000000000000000000000000059 -S3154002FF600000000000000000000000000000000049 -S3154002FF700000000000000000000000000000000039 -S3154002FF800000000000000000000000000000000029 -S3154002FF900000000000000000000000000000000019 -S3154002FFA00000000000000000000000000000000009 -S3154002FFB000000000000000000000000000000000F9 -S3154002FFC000000000000000000000000000000000E9 -S3154002FFD000000000000000000000000000000000D9 -S3154002FFE000000000000000000000000000000000C9 -S3154002FFF000000000000000000000000000000000B9 -S3154003000000000000000000000000000000000000A7 -S315400300100000000000000000000000000000000097 -S315400300200000000000000000000000000000000087 -S315400300300000000000000000000000000000000077 -S315400300400000000000000000000000000000000067 -S315400300500000000000000000000000000000000057 -S315400300600000000000000000000000000000000047 -S315400300700000000000000000000000000000000037 -S315400300800000000000000000000000000000000027 -S315400300900000000000000000000000000000000017 -S315400300A00000000000000000000000000000000007 -S315400300B000000000000000000000000000000000F7 -S315400300C000000000000000000000000000000000E7 -S315400300D000000000000000000000000000000000D7 -S315400300E000000000000000000000000000000000C7 -S315400300F000000000000000000000000000000000B7 -S3154003010000000000000000000000000000000000A6 -S315400301100000000000000000000000000000000096 -S315400301200000000000000000000000000000000086 -S315400301300000000000000000000000000000000076 -S315400301400000000000000000000000000000000066 -S315400301500000000000000000000000000000000056 -S315400301600000000000000000000000000000000046 -S315400301700000000000000000000000000000000036 -S315400301800000000000000000000000000000000026 -S315400301900000000000000000000000000000000016 -S315400301A00000000000000000000000000000000006 -S315400301B000000000000000000000000000000000F6 -S315400301C000000000000000000000000000000000E6 -S315400301D000000000000000000000000000000000D6 -S315400301E000000000000000000000000000000000C6 -S315400301F000000000000000000000000000000000B6 -S3154003020000000000000000000000000000000000A5 -S315400302100000000000000000000000000000000095 -S315400302200000000000000000000000000000000085 -S315400302300000000000000000000000000000000075 -S315400302400000000000000000000000000000000065 -S315400302500000000000000000000000000000000055 -S315400302600000000000000000000000000000000045 -S315400302700000000000000000000000000000000035 -S315400302800000000000000000000000000000000025 -S315400302900000000000000000000000000000000015 -S315400302A00000000000000000000000000000000005 -S315400302B000000000000000000000000000000000F5 -S315400302C000000000000000000000000000000000E5 -S315400302D000000000000000000000000000000000D5 -S315400302E000000000000000000000000000000000C5 -S315400302F000000000000000000000000000000000B5 -S3154003030000000000000000000000000000000000A4 -S315400303100000000000000000000000000000000094 -S315400303200000000000000000000000000000000084 -S315400303300000000000000000000000000000000074 -S315400303400000000000000000000000000000000064 -S315400303500000000000000000000000000000000054 -S315400303600000000000000000000000000000000044 -S315400303700000000000000000000000000000000034 -S315400303800000000000000000000000000000000024 -S315400303900000000000000000000000000000000014 -S315400303A00000000000000000000000000000000004 -S315400303B000000000000000000000000000000000F4 -S315400303C000000000000000000000000000000000E4 -S315400303D000000000000000000000000000000000D4 -S315400303E000000000000000000000000000000000C4 -S315400303F000000000000000000000000000000000B4 -S3154003040000000000000000000000000000000000A3 -S315400304100000000000000000000000000000000093 -S315400304200000000000000000000000000000000083 -S315400304300000000000000000000000000000000073 -S315400304400000000000000000000000000000000063 -S315400304500000000000000000000000000000000053 -S315400304600000000000000000000000000000000043 -S315400304700000000000000000000000000000000033 -S315400304800000000000000000000000000000000023 -S315400304900000000000000000000000000000000013 -S315400304A00000000000000000000000000000000003 -S315400304B000000000000000000000000000000000F3 -S315400304C000000000000000000000000000000000E3 -S315400304D000000000000000000000000000000000D3 -S315400304E000000000000000000000000000000000C3 -S315400304F000000000000000000000000000000000B3 -S3154003050000000000000000000000000000000000A2 -S315400305100000000000000000000000000000000092 -S315400305200000000000000000000000000000000082 -S315400305300000000000000000000000000000000072 -S315400305400000000000000000000000000000000062 -S315400305500000000000000000000000000000000052 -S315400305600000000000000000000000000000000042 -S315400305700000000000000000000000000000000032 -S315400305800000000000000000000000000000000022 -S315400305900000000000000000000000000000000012 -S315400305A00000000000000000000000000000000002 -S315400305B000000000000000000000000000000000F2 -S315400305C000000000000000000000000000000000E2 -S315400305D000000000000000000000000000000000D2 -S315400305E000000000000000000000000000000000C2 -S315400305F000000000000000000000000000000000B2 -S3154003060000000000000000000000000000000000A1 -S315400306100000000000000000000000000000000091 -S315400306200000000000000000000000000000000081 -S315400306300000000000000000000000000000000071 -S315400306400000000000000000000000000000000061 -S315400306500000000000000000000000000000000051 -S315400306600000000000000000000000000000000041 -S315400306700000000000000000000000000000000031 -S315400306800000000000000000000000000000000021 -S315400306900000000000000000000000000000000011 -S315400306A00000000000000000000000000000000001 -S315400306B000000000000000000000000000000000F1 -S315400306C000000000000000000000000000000000E1 -S315400306D000000000000000000000000000000000D1 -S315400306E000000000000000000000000000000000C1 -S315400306F000000000000000000000000000000000B1 -S3154003070000000000000000000000000000000000A0 -S315400307100000000000000000000000000000000090 -S315400307200000000000000000000000000000000080 -S315400307300000000000000000000000000000000070 -S315400307400000000000000000000000000000000060 -S315400307500000000000000000000000000000000050 -S315400307600000000000000000000000000000000040 -S315400307700000000000000000000000000000000030 -S315400307800000000000000000000000000000000020 -S315400307900000000000000000000000000000000010 -S315400307A00000000000000000000000000000000000 -S315400307B000000000000000000000000000000000F0 -S315400307C000000000000000000000000000000000E0 -S315400307D000000000000000000000000000000000D0 -S315400307E000000000000000000000000000000000C0 -S315400307F000000000000000000000000000000000B0 -S31540030800000000000000000000000000000000009F -S31540030810000000000000000000000000000000008F -S31540030820000000000000000000000000000000007F -S31540030830000000000000000000000000000000006F -S31540030840000000000000000000000000000000005F -S31540030850000000000000000000000000000000004F -S31540030860000000000000000000000000000000003F -S31540030870000000000000000000000000000000002F -S31540030880000000000000000000000000000000001F -S31540030890000000000000000000000000000000000F -S315400308A000000000000000000000000000000000FF -S315400308B000000000000000000000000000000000EF -S315400308C000000000000000000000000000000000DF -S315400308D000000000000000000000000000000000CF -S315400308E000000000000000000000000000000000BF -S315400308F000000000000000000000000000000000AF -S31540030900000000000000000000000000000000009E -S31540030910000000000000000000000000000000008E -S31540030920000000000000000000000000000000007E -S31540030930000000000000000000000000000000006E -S31540030940000000000000000000000000000000005E -S31540030950000000000000000000000000000000004E -S31540030960000000000000000000000000000000003E -S31540030970000000000000000000000000000000002E -S31540030980000000000000000000000000000000001E -S31540030990000000000000000000000000000000000E -S315400309A000000000000000000000000000000000FE -S315400309B000000000000000000000000000000000EE -S315400309C000000000000000000000000000000000DE -S315400309D000000000000000000000000000000000CE -S315400309E000000000000000000000000000000000BE -S315400309F000000000000000000000000000000000AE -S31540030A00000000000000000000000000000000009D -S31540030A10000000000000000000000000000000008D -S31540030A20000000000000000000000000000000007D -S31540030A30000000000000000000000000000000006D -S31540030A40000000000000000000000000000000005D -S31540030A50000000000000000000000000000000004D -S31540030A60000000000000000000000000000000003D -S31540030A70000000000000000000000000000000002D -S31540030A80000000000000000000000000000000001D -S31540030A90000000000000000000000000000000000D -S31540030AA000000000000000000000000000000000FD -S31540030AB000000000000000000000000000000000ED -S31540030AC000000000000000000000000000000000DD -S31540030AD000000000000000000000000000000000CD -S31540030AE000000000000000000000000000000000BD -S31540030AF000000000000000000000000000000000AD -S31540030B00000000000000000000000000000000009C -S31540030B10000000000000000000000000000000008C -S31540030B20000000000000000000000000000000007C -S31540030B30000000000000000000000000000000006C -S31540030B40000000000000000000000000000000005C -S31540030B50000000000000000000000000000000004C -S31540030B60000000000000000000000000000000003C -S31540030B70000000000000000000000000000000002C -S31540030B80000000000000000000000000000000001C -S31540030B90000000000000000000000000000000000C -S31540030BA000000000000000000000000000000000FC -S31540030BB000000000000000000000000000000000EC -S31540030BC000000000000000000000000000000000DC -S31540030BD000000000000000000000000000000000CC -S31540030BE000000000000000000000000000000000BC -S31540030BF000000000000000000000000000000000AC -S31540030C00000000000000000000000000000000009B -S31540030C10000000000000000000000000000000008B -S31540030C20000000000000000000000000000000007B -S31540030C30000000000000000000000000000000006B -S31540030C40000000000000000000000000000000005B -S31540030C50000000000000000000000000000000004B -S31540030C60000000000000000000000000000000003B -S31540030C70000000000000000000000000000000002B -S31540030C80000000000000000000000000000000001B -S31540030C90000000000000000000000000000000000B -S31540030CA000000000000000000000000000000000FB -S31540030CB000000000000000000000000000000000EB -S31540030CC000000000000000000000000000000000DB -S31540030CD000000000000000000000000000000000CB -S31540030CE000000000000000000000000000000000BB -S31540030CF000000000000000000000000000000000AB -S31540030D00000000000000000000000000000000009A -S31540030D10000000000000000000000000000000008A -S31540030D20000000000000000000000000000000007A -S31540030D30000000000000000000000000000000006A -S31540030D40000000000000000000000000000000005A -S31540030D50000000000000000000000000000000004A -S31540030D60000000000000000000000000000000003A -S31540030D70000000000000000000000000000000002A -S31540030D80000000000000000000000000000000001A -S31540030D90000000000000000000000000000000000A -S31540030DA000000000000000000000000000000000FA -S31540030DB000000000000000000000000000000000EA -S31540030DC000000000000000000000000000000000DA -S31540030DD000000000000000000000000000000000CA -S31540030DE000000000000000000000000000000000BA -S31540030DF000000000000000000000000000000000AA -S31540030E000000000000000000000000000000000099 -S31540030E100000000000000000000000000000000089 -S31540030E200000000000000000000000000000000079 -S31540030E300000000000000000000000000000000069 -S31540030E400000000000000000000000000000000059 -S31540030E500000000000000000000000000000000049 -S31540030E600000000000000000000000000000000039 -S31540030E700000000000000000000000000000000029 -S31540030E800000000000000000000000000000000019 -S31540030E900000000000000000000000000000000009 -S31540030EA000000000000000000000000000000000F9 -S31540030EB000000000000000000000000000000000E9 -S31540030EC000000000000000000000000000000000D9 -S31540030ED000000000000000000000000000000000C9 -S31540030EE000000000000000000000000000000000B9 -S31540030EF000000000000000000000000000000000A9 -S31540030F000000000000000000000000000000000098 -S31540030F100000000000000000000000000000000088 -S31540030F200000000000000000000000000000000078 -S31540030F300000000000000000000000000000000068 -S31540030F400000000000000000000000000000000058 -S31540030F500000000000000000000000000000000048 -S31540030F600000000000000000000000000000000038 -S31540030F700000000000000000000000000000000028 -S31540030F800000000000000000000000000000000018 -S31540030F900000000000000000000000000000000008 -S31540030FA000000000000000000000000000000000F8 -S31540030FB000000000000000000000000000000000E8 -S31540030FC000000000000000000000000000000000D8 -S31540030FD000000000000000000000000000000000C8 -S31540030FE000000000000000000000000000000000B8 -S31540030FF000000000000000000000000000000000A8 -S315400310000000000000000000000000000000000097 -S315400310100000000000000000000000000000000087 -S315400310200000000000000000000000000000000077 -S315400310300000000000000000000000000000000067 -S315400310400000000000000000000000000000000057 -S315400310500000000000000000000000000000000047 -S315400310600000000000000000000000000000000037 -S315400310700000000000000000000000000000000027 -S315400310800000000000000000000000000000000017 -S315400310900000000000000000000000000000000007 -S315400310A000000000000000000000000000000000F7 -S315400310B000000000000000000000000000000000E7 -S315400310C000000000000000000000000000000000D7 -S315400310D000000000000000000000000000000000C7 -S315400310E000000000000000000000000000000000B7 -S315400310F000000000000000000000000000000000A7 -S315400311000000000000000000000000000000000096 -S315400311100000000000000000000000000000000086 -S315400311200000000000000000000000000000000076 -S315400311300000000000000000000000000000000066 -S315400311400000000000000000000000000000000056 -S315400311500000000000000000000000000000000046 -S315400311600000000000000000000000000000000036 -S315400311700000000000000000000000000000000026 -S315400311800000000000000000000000000000000016 -S315400311900000000000000000000000000000000006 -S315400311A000000000000000000000000000000000F6 -S315400311B000000000000000000000000000000000E6 -S315400311C000000000000000000000000000000000D6 -S315400311D000000000000000000000000000000000C6 -S315400311E000000000000000000000000000000000B6 -S315400311F000000000000000000000000000000000A6 -S315400312000000000000000000000000000000000095 -S315400312100000000000000000000000000000000085 -S315400312200000000000000000000000000000000075 -S315400312300000000000000000000000000000000065 -S315400312400000000000000000000000000000000055 -S315400312500000000000000000000000000000000045 -S315400312600000000000000000000000000000000035 -S315400312700000000000000000000000000000000025 -S315400312800000000000000000000000000000000015 -S315400312900000000000000000000000000000000005 -S315400312A000000000000000000000000000000000F5 -S315400312B000000000000000000000000000000000E5 -S315400312C000000000000000000000000000000000D5 -S315400312D000000000000000000000000000000000C5 -S315400312E000000000000000000000000000000000B5 -S315400312F000000000000000000000000000000000A5 -S315400313000000000000000000000000000000000094 -S315400313100000000000000000000000000000000084 -S315400313200000000000000000000000000000000074 -S315400313300000000000000000000000000000000064 -S315400313400000000000000000000000000000000054 -S315400313500000000000000000000000000000000044 -S315400313600000000000000000000000000000000034 -S315400313700000000000000000000000000000000024 -S315400313800000000000000000000000000000000014 -S315400313900000000000000000000000000000000004 -S315400313A000000000000000000000000000000000F4 -S315400313B000000000000000000000000000000000E4 -S315400313C000000000000000000000000000000000D4 -S315400313D000000000000000000000000000000000C4 -S315400313E000000000000000000000000000000000B4 -S315400313F000000000000000000000000000000000A4 -S315400314000000000000000000000000000000000093 -S315400314100000000000000000000000000000000083 -S315400314200000000000000000000000000000000073 -S315400314300000000000000000000000000000000063 -S315400314400000000000000000000000000000000053 -S315400314500000000000000000000000000000000043 -S315400314600000000000000000000000000000000033 -S315400314700000000000000000000000000000000023 -S315400314800000000000000000000000000000000013 -S315400314900000000000000000000000000000000003 -S315400314A000000000000000000000000000000000F3 -S315400314B000000000000000000000000000000000E3 -S315400314C000000000000000000000000000000000D3 -S315400314D000000000000000000000000000000000C3 -S315400314E000000000000000000000000000000000B3 -S315400314F000000000000000000000000000000000A3 -S315400315000000000000000000000000000000000092 -S315400315100000000000000000000000000000000082 -S315400315200000000000000000000000000000000072 -S315400315300000000000000000000000000000000062 -S315400315400000000000000000000000000000000052 -S315400315500000000000000000000000000000000042 -S315400315600000000000000000000000000000000032 -S315400315700000000000000000000000000000000022 -S315400315800000000000000000000000000000000012 -S315400315900000000000000000000000000000000002 -S315400315A000000000000000000000000000000000F2 -S315400315B000000000000000000000000000000000E2 -S315400315C000000000000000000000000000000000D2 -S315400315D000000000000000000000000000000000C2 -S315400315E000000000000000000000000000000000B2 -S315400315F000000000000000000000000000000000A2 -S315400316000000000000000000000000000000000091 -S315400316100000000000000000000000000000000081 -S315400316200000000000000000000000000000000071 -S315400316300000000000000000000000000000000061 -S315400316400000000000000000000000000000000051 -S315400316500000000000000000000000000000000041 -S315400316600000000000000000000000000000000031 -S315400316700000000000000000000000000000000021 -S315400316800000000000000000000000000000000011 -S315400316900000000000000000000000000000000001 -S315400316A000000000000000000000000000000000F1 -S315400316B000000000000000000000000000000000E1 -S315400316C000000000000000000000000000000000D1 -S315400316D000000000000000000000000000000000C1 -S315400316E000000000000000000000000000000000B1 -S315400316F000000000000000000000000000000000A1 -S315400317000000000000000000000000000000000090 -S315400317100000000000000000000000000000000080 -S315400317200000000000000000000000000000000070 -S315400317300000000000000000000000000000000060 -S315400317400000000000000000000000000000000050 -S315400317500000000000000000000000000000000040 -S315400317600000000000000000000000000000000030 -S315400317700000000000000000000000000000000020 -S315400317800000000000000000000000000000000010 -S315400317900000000000000000000000000000000000 -S315400317A000000000000000000000000000000000F0 -S315400317B000000000000000000000000000000000E0 -S315400317C000000000000000000000000000000000D0 -S315400317D000000000000000000000000000000000C0 -S315400317E000000000000000000000000000000000B0 -S315400317F000000000000000000000000000000000A0 -S31540031800000000000000000000000000000000008F -S31540031810000000000000000000000000000000007F -S31540031820000000000000000000000000000000006F -S31540031830000000000000000000000000000000005F -S31540031840000000000000000000000000000000004F -S31540031850000000000000000000000000000000003F -S31540031860000000000000000000000000000000002F -S31540031870000000000000000000000000000000001F -S31540031880000000000000000000000000000000000F -S3154003189000000000000000000000000000000000FF -S315400318A000000000000000000000000000000000EF -S315400318B000000000000000000000000000000000DF -S315400318C000000000000000000000000000000000CF -S315400318D000000000000000000000000000000000BF -S315400318E000000000000000000000000000000000AF -S315400318F0000000000000000000000000000000009F -S31540031900000000000000000000000000000000008E -S31540031910000000000000000000000000000000007E -S31540031920000000000000000000000000000000006E -S31540031930000000000000000000000000000000005E -S31540031940000000000000000000000000000000004E -S31540031950000000000000000000000000000000003E -S31540031960000000000000000000000000000000002E -S31540031970000000000000000000000000000000001E -S31540031980000000000000000000000000000000000E -S3154003199000000000000000000000000000000000FE -S315400319A000000000000000000000000000000000EE -S315400319B000000000000000000000000000000000DE -S315400319C000000000000000000000000000000000CE -S315400319D000000000000000000000000000000000BE -S315400319E000000000000000000000000000000000AE -S315400319F0000000000000000000000000000000009E -S31540031A00000000000000000000000000000000008D -S31540031A10000000000000000000000000000000007D -S31540031A20000000000000000000000000000000006D -S31540031A30000000000000000000000000000000005D -S31540031A40000000000000000000000000000000004D -S31540031A50000000000000000000000000000000003D -S31540031A60000000000000000000000000000000002D -S31540031A70000000000000000000000000000000001D -S31540031A80000000000000000000000000000000000D -S31540031A9000000000000000000000000000000000FD -S31540031AA000000000000000000000000000000000ED -S31540031AB000000000000000000000000000000000DD -S31540031AC000000000000000000000000000000000CD -S31540031AD000000000000000000000000000000000BD -S31540031AE000000000000000000000000000000000AD -S31540031AF0000000000000000000000000000000009D -S31540031B00000000000000000000000000000000008C -S31540031B10000000000000000000000000000000007C -S31540031B20000000000000000000000000000000006C -S31540031B30000000000000000000000000000000005C -S31540031B40000000000000000000000000000000004C -S31540031B50000000000000000000000000000000003C -S31540031B60000000000000000000000000000000002C -S31540031B70000000000000000000000000000000001C -S31540031B80000000000000000000000000000000000C -S31540031B9000000000000000000000000000000000FC -S31540031BA000000000000000000000000000000000EC -S31540031BB000000000000000000000000000000000DC -S31540031BC000000000000000000000000000000000CC -S31540031BD000000000000000000000000000000000BC -S31540031BE000000000000000000000000000000000AC -S31540031BF0000000000000000000000000000000009C -S31540031C00000000000000000000000000000000008B -S31540031C10000000000000000000000000000000007B -S31540031C20000000000000000000000000000000006B -S31540031C30000000000000000000000000000000005B -S31540031C40000000000000000000000000000000004B -S31540031C50000000000000000000000000000000003B -S31540031C60000000000000000000000000000000002B -S31540031C70000000000000000000000000000000001B -S31540031C80000000000000000000000000000000000B -S31540031C9000000000000000000000000000000000FB -S31540031CA000000000000000000000000000000000EB -S31540031CB000000000000000000000000000000000DB -S31540031CC000000000000000000000000000000000CB -S31540031CD000000000000000000000000000000000BB -S31540031CE000000000000000000000000000000000AB -S31540031CF0000000000000000000000000000000009B -S31540031D00000000000000000000000000000000008A -S31540031D10000000000000000000000000000000007A -S31540031D20000000000000000000000000000000006A -S31540031D30000000000000000000000000000000005A -S31540031D40000000000000000000000000000000004A -S31540031D50000000000000000000000000000000003A -S31540031D60000000000000000000000000000000002A -S31540031D70000000000000000000000000000000001A -S31540031D80000000000000000000000000000000000A -S31540031D9000000000000000000000000000000000FA -S31540031DA000000000000000000000000000000000EA -S31540031DB000000000000000000000000000000000DA -S31540031DC000000000000000000000000000000000CA -S31540031DD000000000000000000000000000000000BA -S31540031DE000000000000000000000000000000000AA -S31540031DF0000000000000000000000000000000009A -S31540031E000000000000000000000000000000000089 -S31540031E100000000000000000000000000000000079 -S31540031E200000000000000000000000000000000069 -S31540031E300000000000000000000000000000000059 -S31540031E400000000000000000000000000000000049 -S31540031E500000000000000000000000000000000039 -S31540031E600000000000000000000000000000000029 -S31540031E700000000000000000000000000000000019 -S31540031E800000000000000000000000000000000009 -S31540031E9000000000000000000000000000000000F9 -S31540031EA000000000000000000000000000000000E9 -S31540031EB000000000000000000000000000000000D9 -S31540031EC000000000000000000000000000000000C9 -S31540031ED000000000000000000000000000000000B9 -S31540031EE000000000000000000000000000000000A9 -S31540031EF00000000000000000000000000000000099 -S31540031F000000000000000000000000000000000088 -S31540031F100000000000000000000000000000000078 -S31540031F200000000000000000000000000000000068 -S31540031F300000000000000000000000000000000058 -S31540031F400000000000000000000000000000000048 -S31540031F500000000000000000000000000000000038 -S31540031F600000000000000000000000000000000028 -S31540031F700000000000000000000000000000000018 -S31540031F800000000000000000000000000000000008 -S31540031F9000000000000000000000000000000000F8 -S31540031FA000000000000000000000000000000000E8 -S31540031FB000000000000000000000000000000000D8 -S31540031FC000000000000000000000000000000000C8 -S31540031FD000000000000000000000000000000000B8 -S31540031FE000000000000000000000000000000000A8 -S31540031FF00000000000000000000000000000000098 -S315400320000000000000000000000000000000000087 -S315400320100000000000000000000000000000000077 -S315400320200000000000000000000000000000000067 -S315400320300000000000000000000000000000000057 -S315400320400000000000000000000000000000000047 -S315400320500000000000000000000000000000000037 -S315400320600000000000000000000000000000000027 -S315400320700000000000000000000000000000000017 -S315400320800000000000000000000000000000000007 -S3154003209000000000000000000000000000000000F7 -S315400320A000000000000000000000000000000000E7 -S315400320B000000000000000000000000000000000D7 -S315400320C000000000000000000000000000000000C7 -S315400320D000000000000000000000000000000000B7 -S315400320E000000000000000000000000000000000A7 -S315400320F00000000000000000000000000000000097 -S315400321000000000000000000000000000000000086 -S315400321100000000000000000000000000000000076 -S315400321200000000000000000000000000000000066 -S315400321300000000000000000000000000000000056 -S315400321400000000000000000000000000000000046 -S315400321500000000000000000000000000000000036 -S315400321600000000000000000000000000000000026 -S315400321700000000000000000000000000000000016 -S315400321800000000000000000000000000000000006 -S3154003219000000000000000000000000000000000F6 -S315400321A000000000000000000000000000000000E6 -S315400321B000000000000000000000000000000000D6 -S315400321C000000000000000000000000000000000C6 -S315400321D000000000000000000000000000000000B6 -S315400321E000000000000000000000000000000000A6 -S315400321F00000000000000000000000000000000096 -S315400322000000000000000000000000000000000085 -S315400322100000000000000000000000000000000075 -S315400322200000000000000000000000000000000065 -S315400322300000000000000000000000000000000055 -S315400322400000000000000000000000000000000045 -S315400322500000000000000000000000000000000035 -S315400322600000000000000000000000000000000025 -S315400322700000000000000000000000000000000015 -S315400322800000000000000000000000000000000005 -S3154003229000000000000000000000000000000000F5 -S315400322A000000000000000000000000000000000E5 -S315400322B000000000000000000000000000000000D5 -S315400322C000000000000000000000000000000000C5 -S315400322D000000000000000000000000000000000B5 -S315400322E000000000000000000000000000000000A5 -S315400322F00000000000000000000000000000000095 -S315400323000000000000000000000000000000000084 -S315400323100000000000000000000000000000000074 -S315400323200000000000000000000000000000000064 -S315400323300000000000000000000000000000000054 -S315400323400000000000000000000000000000000044 -S315400323500000000000000000000000000000000034 -S315400323600000000000000000000000000000000024 -S315400323700000000000000000000000000000000014 -S315400323800000000000000000000000000000000004 -S3154003239000000000000000000000000000000000F4 -S315400323A000000000000000000000000000000000E4 -S315400323B000000000000000000000000000000000D4 -S315400323C000000000000000000000000000000000C4 -S315400323D000000000000000000000000000000000B4 -S315400323E000000000000000000000000000000000A4 -S315400323F00000000000000000000000000000000094 -S315400324000000000000000000000000000000000083 -S315400324100000000000000000000000000000000073 -S315400324200000000000000000000000000000000063 -S315400324300000000000000000000000000000000053 -S315400324400000000000000000000000000000000043 -S315400324500000000000000000000000000000000033 -S315400324600000000000000000000000000000000023 -S315400324700000000000000000000000000000000013 -S315400324800000000000000000000000000000000003 -S3154003249000000000000000000000000000000000F3 -S315400324A000000000000000000000000000000000E3 -S315400324B000000000000000000000000000000000D3 -S315400324C000000000000000000000000000000000C3 -S315400324D000000000000000000000000000000000B3 -S315400324E000000000000000000000000000000000A3 -S315400324F00000000000000000000000000000000093 -S315400325000000000000000000000000000000000082 -S315400325100000000000000000000000000000000072 -S315400325200000000000000000000000000000000062 -S315400325300000000000000000000000000000000052 -S315400325400000000000000000000000000000000042 -S315400325500000000000000000000000000000000032 -S315400325600000000000000000000000000000000022 -S315400325700000000000000000000000000000000012 -S315400325800000000000000000000000000000000002 -S3154003259000000000000000000000000000000000F2 -S315400325A000000000000000000000000000000000E2 -S315400325B000000000000000000000000000000000D2 -S315400325C000000000000000000000000000000000C2 -S315400325D000000000000000000000000000000000B2 -S315400325E000000000000000000000000000000000A2 -S315400325F00000000000000000000000000000000092 -S315400326000000000000000000000000000000000081 -S315400326100000000000000000000000000000000071 -S315400326200000000000000000000000000000000061 -S315400326300000000000000000000000000000000051 -S315400326400000000000000000000000000000000041 -S315400326500000000000000000000000000000000031 -S315400326600000000000000000000000000000000021 -S315400326700000000000000000000000000000000011 -S315400326800000000000000000000000000000000001 -S3154003269000000000000000000000000000000000F1 -S315400326A000000000000000000000000000000000E1 -S315400326B000000000000000000000000000000000D1 -S315400326C000000000000000000000000000000000C1 -S315400326D000000000000000000000000000000000B1 -S315400326E000000000000000000000000000000000A1 -S315400326F00000000000000000000000000000000091 -S315400327000000000000000000000000000000000080 -S315400327100000000000000000000000000000000070 -S315400327200000000000000000000000000000000060 -S315400327300000000000000000000000000000000050 -S315400327400000000000000000000000000000000040 -S315400327500000000000000000000000000000000030 -S315400327600000000000000000000000000000000020 -S315400327700000000000000000000000000000000010 -S315400327800000000000000000000000000000000000 -S3154003279000000000000000000000000000000000F0 -S315400327A000000000000000000000000000000000E0 -S315400327B000000000000000000000000000000000D0 -S315400327C000000000000000000000000000000000C0 -S315400327D000000000000000000000000000000000B0 -S315400327E000000000000000000000000000000000A0 -S315400327F00000000000000000000000000000000090 -S31540032800000000000000000000000000000000007F -S31540032810000000000000000000000000000000006F -S31540032820000000000000000000000000000000005F -S31540032830000000000000000000000000000000004F -S31540032840000000000000000000000000000000003F -S31540032850000000000000000000000000000000002F -S31540032860000000000000000000000000000000001F -S31540032870000000000000000000000000000000000F -S3154003288000000000000000000000000000000000FF -S3154003289000000000000000000000000000000000EF -S315400328A000000000000000000000000000000000DF -S315400328B000000000000000000000000000000000CF -S315400328C000000000000000000000000000000000BF -S315400328D000000000000000000000000000000000AF -S315400328E0000000000000000000000000000000009F -S315400328F0000000000000000000000000000000008F -S31540032900000000000000000000000000000000007E -S31540032910000000000000000000000000000000006E -S31540032920000000000000000000000000000000005E -S31540032930000000000000000000000000000000004E -S31540032940000000000000000000000000000000003E -S31540032950000000000000000000000000000000002E -S31540032960000000000000000000000000000000001E -S31540032970000000000000000000000000000000000E -S3154003298000000000000000000000000000000000FE -S3154003299000000000000000000000000000000000EE -S315400329A000000000000000000000000000000000DE -S315400329B000000000000000000000000000000000CE -S315400329C000000000000000000000000000000000BE -S315400329D000000000000000000000000000000000AE -S315400329E0000000000000000000000000000000009E -S315400329F0000000000000000000000000000000008E -S31540032A00000000000000000000000000000000007D -S31540032A10000000000000000000000000000000006D -S31540032A20000000000000000000000000000000005D -S31540032A30000000000000000000000000000000004D -S31540032A40000000000000000000000000000000003D -S31540032A50000000000000000000000000000000002D -S31540032A60000000000000000000000000000000001D -S31540032A70000000000000000000000000000000000D -S31540032A8000000000000000000000000000000000FD -S31540032A9000000000000000000000000000000000ED -S31540032AA000000000000000000000000000000000DD -S31540032AB000000000000000000000000000000000CD -S31540032AC000000000000000000000000000000000BD -S31540032AD000000000000000000000000000000000AD -S31540032AE0000000000000000000000000000000009D -S31540032AF0000000000000000000000000000000008D -S31540032B00000000000000000000000000000000007C -S31540032B10000000000000000000000000000000006C -S31540032B20000000000000000000000000000000005C -S31540032B30000000000000000000000000000000004C -S31540032B40000000000000000000000000000000003C -S31540032B50000000000000000000000000000000002C -S31540032B60000000000000000000000000000000001C -S31540032B70000000000000000000000000000000000C -S31540032B8000000000000000000000000000000000FC -S31540032B9000000000000000000000000000000000EC -S31540032BA000000000000000000000000000000000DC -S31540032BB000000000000000000000000000000000CC -S31540032BC000000000000000000000000000000000BC -S31540032BD000000000000000000000000000000000AC -S31540032BE0000000000000000000000000000000009C -S31540032BF0000000000000000000000000000000008C -S31540032C00000000000000000000000000000000007B -S31540032C10000000000000000000000000000000006B -S31540032C20000000000000000000000000000000005B -S31540032C30000000000000000000000000000000004B -S31540032C40000000000000000000000000000000003B -S31540032C50000000000000000000000000000000002B -S31540032C60000000000000000000000000000000001B -S31540032C70000000000000000000000000000000000B -S31540032C8000000000000000000000000000000000FB -S31540032C9000000000000000000000000000000000EB -S31540032CA000000000000000000000000000000000DB -S31540032CB000000000000000000000000000000000CB -S31540032CC000000000000000000000000000000000BB -S31540032CD000000000000000000000000000000000AB -S31540032CE0000000000000000000000000000000009B -S31540032CF0000000000000000000000000000000008B -S31540032D00000000000000000000000000000000007A -S31540032D10000000000000000000000000000000006A -S31540032D20000000000000000000000000000000005A -S31540032D30000000000000000000000000000000004A -S31540032D40000000000000000000000000000000003A -S31540032D50000000000000000000000000000000002A -S31540032D60000000000000000000000000000000001A -S31540032D70000000000000000000000000000000000A -S31540032D8000000000000000000000000000000000FA -S31540032D9000000000000000000000000000000000EA -S31540032DA000000000000000000000000000000000DA -S31540032DB000000000000000000000000000000000CA -S31540032DC000000000000000000000000000000000BA -S31540032DD000000000000000000000000000000000AA -S31540032DE0000000000000000000000000000000009A -S31540032DF0000000000000000000000000000000008A -S31540032E000000000000000000000000000000000079 -S31540032E100000000000000000000000000000000069 -S31540032E200000000000000000000000000000000059 -S31540032E300000000000000000000000000000000049 -S31540032E400000000000000000000000000000000039 -S31540032E500000000000000000000000000000000029 -S31540032E600000000000000000000000000000000019 -S31540032E700000000000000000000000000000000009 -S31540032E8000000000000000000000000000000000F9 -S31540032E9000000000000000000000000000000000E9 -S31540032EA000000000000000000000000000000000D9 -S31540032EB000000000000000000000000000000000C9 -S31540032EC000000000000000000000000000000000B9 -S31540032ED000000000000000000000000000000000A9 -S31540032EE00000000000000000000000000000000099 -S31540032EF00000000000000000000000000000000089 -S31540032F000000000000000000000000000000000078 -S31540032F100000000000000000000000000000000068 -S31540032F200000000000000000000000000000000058 -S31540032F300000000000000000000000000000000048 -S31540032F400000000000000000000000000000000038 -S31540032F500000000000000000000000000000000028 -S31540032F600000000000000000000000000000000018 -S31540032F700000000000000000000000000000000008 -S31540032F8000000000000000000000000000000000F8 -S31540032F9000000000000000000000000000000000E8 -S31540032FA000000000000000000000000000000000D8 -S31540032FB000000000000000000000000000000000C8 -S31540032FC000000000000000000000000000000000B8 -S31540032FD000000000000000000000000000000000A8 -S31540032FE00000000000000000000000000000000098 -S31540032FF00000000000000000000000000000000088 -S315400330000000000000000000000000000000000077 -S315400330100000000000000000000000000000000067 -S315400330200000000000000000000000000000000057 -S315400330300000000000000000000000000000000047 -S315400330400000000000000000000000000000000037 -S315400330500000000000000000000000000000000027 -S315400330600000000000000000000000000000000017 -S315400330700000000000000000000000000000000007 -S3154003308000000000000000000000000000000000F7 -S3154003309000000000000000000000000000000000E7 -S315400330A000000000000000000000000000000000D7 -S315400330B000000000000000000000000000000000C7 -S315400330C000000000000000000000000000000000B7 -S315400330D000000000000000000000000000000000A7 -S315400330E00000000000000000000000000000000097 -S315400330F00000000000000000000000000000000087 -S315400331000000000000000000000000000000000076 -S315400331100000000000000000000000000000000066 -S315400331200000000000000000000000000000000056 -S315400331300000000000000000000000000000000046 -S315400331400000000000000000000000000000000036 -S315400331500000000000000000000000000000000026 -S315400331600000000000000000000000000000000016 -S315400331700000000000000000000000000000000006 -S3154003318000000000000000000000000000000000F6 -S3154003319000000000000000000000000000000000E6 -S315400331A000000000000000000000000000000000D6 -S315400331B000000000000000000000000000000000C6 -S315400331C000000000000000000000000000000000B6 -S315400331D000000000000000000000000000000000A6 -S315400331E00000000000000000000000000000000096 -S315400331F00000000000000000000000000000000086 -S315400332000000000000000000000000000000000075 -S315400332100000000000000000000000000000000065 -S315400332200000000000000000000000000000000055 -S315400332300000000000000000000000000000000045 -S315400332400000000000000000000000000000000035 -S315400332500000000000000000000000000000000025 -S315400332600000000000000000000000000000000015 -S315400332700000000000000000000000000000000005 -S3154003328000000000000000000000000000000000F5 -S3154003329000000000000000000000000000000000E5 -S315400332A000000000000000000000000000000000D5 -S315400332B000000000000000000000000000000000C5 -S315400332C000000000000000000000000000000000B5 -S315400332D000000000000000000000000000000000A5 -S315400332E00000000000000000000000000000000095 -S315400332F00000000000000000000000000000000085 -S315400333000000000000000000000000000000000074 -S315400333100000000000000000000000000000000064 -S315400333200000000000000000000000000000000054 -S315400333300000000000000000000000000000000044 -S315400333400000000000000000000000000000000034 -S315400333500000000000000000000000000000000024 -S315400333600000000000000000000000000000000014 -S315400333700000000000000000000000000000000004 -S3154003338000000000000000000000000000000000F4 -S3154003339000000000000000000000000000000000E4 -S315400333A000000000000000000000000000000000D4 -S315400333B000000000000000000000000000000000C4 -S315400333C000000000000000000000000000000000B4 -S315400333D000000000000000000000000000000000A4 -S315400333E00000000000000000000000000000000094 -S315400333F00000000000000000000000000000000084 -S315400334000000000000000000000000000000000073 -S315400334100000000000000000000000000000000063 -S315400334200000000000000000000000000000000053 -S315400334300000000000000000000000000000000043 -S315400334400000000000000000000000000000000033 -S315400334500000000000000000000000000000000023 -S315400334600000000000000000000000000000000013 -S315400334700000000000000000000000000000000003 -S3154003348000000000000000000000000000000000F3 -S3154003349000000000000000000000000000000000E3 -S315400334A000000000000000000000000000000000D3 -S315400334B000000000000000000000000000000000C3 -S315400334C000000000000000000000000000000000B3 -S315400334D000000000000000000000000000000000A3 -S315400334E00000000000000000000000000000000093 -S315400334F00000000000000000000000000000000083 -S315400335000000000000000000000000000000000072 -S315400335100000000000000000000000000000000062 -S315400335200000000000000000000000000000000052 -S315400335300000000000000000000000000000000042 -S315400335400000000000000000000000000000000032 -S315400335500000000000000000000000000000000022 -S315400335600000000000000000000000000000000012 -S315400335700000000000000000000000000000000002 -S3154003358000000000000000000000000000000000F2 -S3154003359000000000000000000000000000000000E2 -S315400335A000000000000000000000000000000000D2 -S315400335B000000000000000000000000000000000C2 -S315400335C000000000000000000000000000000000B2 -S315400335D000000000000000000000000000000000A2 -S315400335E00000000000000000000000000000000092 -S315400335F00000000000000000000000000000000082 -S315400336000000000000000000000000000000000071 -S315400336100000000000000000000000000000000061 -S315400336200000000000000000000000000000000051 -S315400336300000000000000000000000000000000041 -S315400336400000000000000000000000000000000031 -S315400336500000000000000000000000000000000021 -S315400336600000000000000000000000000000000011 -S315400336700000000000000000000000000000000001 -S3154003368000000000000000000000000000000000F1 -S3154003369000000000000000000000000000000000E1 -S315400336A000000000000000000000000000000000D1 -S315400336B000000000000000000000000000000000C1 -S315400336C000000000000000000000000000000000B1 -S315400336D000000000000000000000000000000000A1 -S315400336E00000000000000000000000000000000091 -S315400336F00000000000000000000000000000000081 -S315400337000000000000000000000000000000000070 -S315400337100000000000000000000000000000000060 -S315400337200000000000000000000000000000000050 -S315400337300000000000000000000000000000000040 -S315400337400000000000000000000000000000000030 -S315400337500000000000000000000000000000000020 -S315400337600000000000000000000000000000000010 -S315400337700000000000000000000000000000000000 -S3154003378000000000000000000000000000000000F0 -S3154003379000000000000000000000000000000000E0 -S315400337A000000000000000000000000000000000D0 -S315400337B000000000000000000000000000000000C0 -S315400337C000000000000000000000000000000000B0 -S315400337D000000000000000000000000000000000A0 -S315400337E00000000000000000000000000000000090 -S315400337F00000000000000000000000000000000080 -S31540033800000000000000000000000000000000006F -S31540033810000000000000000000000000000000005F -S31540033820000000000000000000000000000000004F -S31540033830000000000000000000000000000000003F -S31540033840000000000000000000000000000000002F -S31540033850000000000000000000000000000000001F -S31540033860000000000000000000000000000000000F -S3154003387000000000000000000000000000000000FF -S3154003388000000000000000000000000000000000EF -S3154003389000000000000000000000000000000000DF -S315400338A000000000000000000000000000000000CF -S315400338B000000000000000000000000000000000BF -S315400338C000000000000000000000000000000000AF -S315400338D0000000000000000000000000000000009F -S315400338E0000000000000000000000000000000008F -S315400338F0000000000000000000000000000000007F -S31540033900000000000000000000000000000000006E -S31540033910000000000000000000000000000000005E -S31540033920000000000000000000000000000000004E -S31540033930000000000000000000000000000000003E -S31540033940000000000000000000000000000000002E -S31540033950000000000000000000000000000000001E -S31540033960000000000000000000000000000000000E -S3154003397000000000000000000000000000000000FE -S3154003398000000000000000000000000000000000EE -S3154003399000000000000000000000000000000000DE -S315400339A000000000000000000000000000000000CE -S315400339B000000000000000000000000000000000BE -S315400339C000000000000000000000000000000000AE -S315400339D0000000000000000000000000000000009E -S315400339E0000000000000000000000000000000008E -S315400339F0000000000000000000000000000000007E -S31540033A00000000000000000000000000000000006D -S31540033A10000000000000000000000000000000005D -S31540033A20000000000000000000000000000000004D -S31540033A30000000000000000000000000000000003D -S31540033A40000000000000000000000000000000002D -S31540033A50000000000000000000000000000000001D -S31540033A60000000000000000000000000000000000D -S31540033A7000000000000000000000000000000000FD -S31540033A8000000000000000000000000000000000ED -S31540033A9000000000000000000000000000000000DD -S31540033AA000000000000000000000000000000000CD -S31540033AB000000000000000000000000000000000BD -S31540033AC000000000000000000000000000000000AD -S31540033AD0000000000000000000000000000000009D -S31540033AE0000000000000000000000000000000008D -S31540033AF0000000000000000000000000000000007D -S31540033B00000000000000000000000000000000006C -S31540033B10000000000000000000000000000000005C -S31540033B20000000000000000000000000000000004C -S31540033B30000000000000000000000000000000003C -S31540033B40000000000000000000000000000000002C -S31540033B50000000000000000000000000000000001C -S31540033B60000000000000000000000000000000000C -S31540033B7000000000000000000000000000000000FC -S31540033B8000000000000000000000000000000000EC -S31540033B9000000000000000000000000000000000DC -S31540033BA000000000000000000000000000000000CC -S31540033BB000000000000000000000000000000000BC -S31540033BC000000000000000000000000000000000AC -S31540033BD0000000000000000000000000000000009C -S31540033BE0000000000000000000000000000000008C -S31540033BF0000000000000000000000000000000007C -S31540033C00000000000000000000000000000000006B -S31540033C10000000000000000000000000000000005B -S31540033C20000000000000000000000000000000004B -S31540033C30000000000000000000000000000000003B -S31540033C40000000000000000000000000000000002B -S31540033C50000000000000000000000000000000001B -S31540033C60000000000000000000000000000000000B -S31540033C7000000000000000000000000000000000FB -S31540033C8000000000000000000000000000000000EB -S31540033C9000000000000000000000000000000000DB -S31540033CA000000000000000000000000000000000CB -S31540033CB000000000000000000000000000000000BB -S31540033CC000000000000000000000000000000000AB -S31540033CD0000000000000000000000000000000009B -S31540033CE0000000000000000000000000000000008B -S31540033CF0000000000000000000000000000000007B -S31540033D00000000000000000000000000000000006A -S31540033D10000000000000000000000000000000005A -S31540033D20000000000000000000000000000000004A -S31540033D30000000000000000000000000000000003A -S31540033D40000000000000000000000000000000002A -S31540033D50000000000000000000000000000000001A -S31540033D60000000000000000000000000000000000A -S31540033D7000000000000000000000000000000000FA -S31540033D8000000000000000000000000000000000EA -S31540033D9000000000000000000000000000000000DA -S31540033DA000000000000000000000000000000000CA -S31540033DB000000000000000000000000000000000BA -S31540033DC000000000000000000000000000000000AA -S31540033DD0000000000000000000000000000000009A -S31540033DE0000000000000000000000000000000008A -S31540033DF0000000000000000000000000000000007A -S31540033E000000000000000000000000000000000069 -S31540033E100000000000000000000000000000000059 -S31540033E200000000000000000000000000000000049 -S31540033E300000000000000000000000000000000039 -S31540033E400000000000000000000000000000000029 -S31540033E500000000000000000000000000000000019 -S31540033E600000000000000000000000000000000009 -S31540033E7000000000000000000000000000000000F9 -S31540033E8000000000000000000000000000000000E9 -S31540033E9000000000000000000000000000000000D9 -S31540033EA000000000000000000000000000000000C9 -S31540033EB000000000000000000000000000000000B9 -S31540033EC000000000000000000000000000000000A9 -S31540033ED00000000000000000000000000000000099 -S31540033EE00000000000000000000000000000000089 -S31540033EF00000000000000000000000000000000079 -S31540033F000000000000000000000000000000000068 -S31540033F100000000000000000000000000000000058 -S31540033F200000000000000000000000000000000048 -S31540033F300000000000000000000000000000000038 -S31540033F400000000000000000000000000000000028 -S31540033F500000000000000000000000000000000018 -S31540033F600000000000000000000000000000000008 -S31540033F7000000000000000000000000000000000F8 -S31540033F8000000000000000000000000000000000E8 -S31540033F9000000000000000000000000000000000D8 -S31540033FA000000000000000000000000000000000C8 -S31540033FB000000000000000000000000000000000B8 -S31540033FC000000000000000000000000000000000A8 -S31540033FD00000000000000000000000000000000098 -S31540033FE00000000000000000000000000000000088 -S31540033FF00000000000000000000000000000000078 -S315400340000000000000000000000000000000000067 -S315400340100000000000000000000000000000000057 -S315400340200000000000000000000000000000000047 -S315400340300000000000000000000000000000000037 -S315400340400000000000000000000000000000000027 -S315400340500000000000000000000000000000000017 -S315400340600000000000000000000000000000000007 -S3154003407000000000000000000000000000000000F7 -S3154003408000000000000000000000000000000000E7 -S3154003409000000000000000000000000000000000D7 -S315400340A000000000000000000000000000000000C7 -S315400340B000000000000000000000000000000000B7 -S315400340C000000000000000000000000000000000A7 -S315400340D00000000000000000000000000000000097 -S315400340E00000000000000000000000000000000087 -S315400340F00000000000000000000000000000000077 -S315400341000000000000000000000000000000000066 -S315400341100000000000000000000000000000000056 -S315400341200000000000000000000000000000000046 -S315400341300000000000000000000000000000000036 -S315400341400000000000000000000000000000000026 -S315400341500000000000000000000000000000000016 -S315400341600000000000000000000000000000000006 -S3154003417000000000000000000000000000000000F6 -S3154003418000000000000000000000000000000000E6 -S3154003419000000000000000000000000000000000D6 -S315400341A000000000000000000000000000000000C6 -S315400341B000000000000000000000000000000000B6 -S315400341C000000000000000000000000000000000A6 -S315400341D00000000000000000000000000000000096 -S315400341E00000000000000000000000000000000086 -S315400341F00000000000000000000000000000000076 -S315400342000000000000000000000000000000000065 -S315400342100000000000000000000000000000000055 -S315400342200000000000000000000000000000000045 -S315400342300000000000000000000000000000000035 -S315400342400000000000000000000000000000000025 -S315400342500000000000000000000000000000000015 -S315400342600000000000000000000000000000000005 -S3154003427000000000000000000000000000000000F5 -S3154003428000000000000000000000000000000000E5 -S3154003429000000000000000000000000000000000D5 -S315400342A000000000000000000000000000000000C5 -S315400342B000000000000000000000000000000000B5 -S315400342C000000000000000000000000000000000A5 -S315400342D00000000000000000000000000000000095 -S315400342E00000000000000000000000000000000085 -S315400342F00000000000000000000000000000000075 -S315400343000000000000000000000000000000000064 -S315400343100000000000000000000000000000000054 -S315400343200000000000000000000000000000000044 -S315400343300000000000000000000000000000000034 -S315400343400000000000000000000000000000000024 -S315400343500000000000000000000000000000000014 -S315400343600000000000000000000000000000000004 -S3154003437000000000000000000000000000000000F4 -S3154003438000000000000000000000000000000000E4 -S3154003439000000000000000000000000000000000D4 -S315400343A000000000000000000000000000000000C4 -S315400343B000000000000000000000000000000000B4 -S315400343C000000000000000000000000000000000A4 -S315400343D00000000000000000000000000000000094 -S315400343E00000000000000000000000000000000084 -S315400343F00000000000000000000000000000000074 -S315400344000000000000000000000000000000000063 -S315400344100000000000000000000000000000000053 -S315400344200000000000000000000000000000000043 -S315400344300000000000000000000000000000000033 -S315400344400000000000000000000000000000000023 -S315400344500000000000000000000000000000000013 -S315400344600000000000000000000000000000000003 -S3154003447000000000000000000000000000000000F3 -S3154003448000000000000000000000000000000000E3 -S3154003449000000000000000000000000000000000D3 -S315400344A000000000000000000000000000000000C3 -S315400344B000000000000000000000000000000000B3 -S315400344C000000000000000000000000000000000A3 -S315400344D00000000000000000000000000000000093 -S315400344E00000000000000000000000000000000083 -S315400344F00000000000000000000000000000000073 -S315400345000000000000000000000000000000000062 -S315400345100000000000000000000000000000000052 -S315400345200000000000000000000000000000000042 -S315400345300000000000000000000000000000000032 -S315400345400000000000000000000000000000000022 -S315400345500000000000000000000000000000000012 -S315400345600000000000000000000000000000000002 -S3154003457000000000000000000000000000000000F2 -S3154003458000000000000000000000000000000000E2 -S3154003459000000000000000000000000000000000D2 -S315400345A000000000000000000000000000000000C2 -S315400345B000000000000000000000000000000000B2 -S315400345C000000000000000000000000000000000A2 -S315400345D00000000000000000000000000000000092 -S315400345E00000000000000000000000000000000082 -S315400345F00000000000000000000000000000000072 -S315400346000000000000000000000000000000000061 -S315400346100000000000000000000000000000000051 -S315400346200000000000000000000000000000000041 -S315400346300000000000000000000000000000000031 -S315400346400000000000000000000000000000000021 -S315400346500000000000000000000000000000000011 -S315400346600000000000000000000000000000000001 -S3154003467000000000000000000000000000000000F1 -S3154003468000000000000000000000000000000000E1 -S3154003469000000000000000000000000000000000D1 -S315400346A000000000000000000000000000000000C1 -S315400346B000000000000000000000000000000000B1 -S315400346C000000000000000000000000000000000A1 -S315400346D00000000000000000000000000000000091 -S315400346E00000000000000000000000000000000081 -S315400346F00000000000000000000000000000000071 -S315400347000000000000000000000000000000000060 -S315400347100000000000000000000000000000000050 -S315400347200000000000000000000000000000000040 -S315400347300000000000000000000000000000000030 -S315400347400000000000000000000000000000000020 -S315400347500000000000000000000000000000000010 -S315400347600000000000000000000000000000000000 -S3154003477000000000000000000000000000000000F0 -S3154003478000000000000000000000000000000000E0 -S3154003479000000000000000000000000000000000D0 -S315400347A000000000000000000000000000000000C0 -S315400347B000000000000000000000000000000000B0 -S315400347C000000000000000000000000000000000A0 -S315400347D00000000000000000000000000000000090 -S315400347E00000000000000000000000000000000080 -S315400347F00000000000000000000000000000000070 -S31540034800000000000000000000000000000000005F -S31540034810000000000000000000000000000000004F -S31540034820000000000000000000000000000000003F -S31540034830000000000000000000000000000000002F -S31540034840000000000000000000000000000000001F -S31540034850000000000000000000000000000000000F -S3154003486000000000000000000000000000000000FF -S3154003487000000000000000000000000000000000EF -S3154003488000000000000000000000000000000000DF -S3154003489000000000000000000000000000000000CF -S315400348A000000000000000000000000000000000BF -S315400348B000000000000000000000000000000000AF -S315400348C0000000000000000000000000000000009F -S315400348D0000000000000000000000000000000008F -S315400348E0000000000000000000000000000000007F -S315400348F0000000000000000000000000000000006F -S31540034900000000000000000000000000000000005E -S31540034910000000000000000000000000000000004E -S31540034920000000000000000000000000000000003E -S31540034930000000000000000000000000000000002E -S31540034940000000000000000000000000000000001E -S31540034950000000000000000000000000000000000E -S3154003496000000000000000000000000000000000FE -S3154003497000000000000000000000000000000000EE -S3154003498000000000000000000000000000000000DE -S3154003499000000000000000000000000000000000CE -S315400349A000000000000000000000000000000000BE -S315400349B000000000000000000000000000000000AE -S315400349C0000000000000000000000000000000009E -S315400349D0000000000000000000000000000000008E -S315400349E0000000000000000000000000000000007E -S315400349F0000000000000000000000000000000006E -S31540034A00000000000000000000000000000000005D -S31540034A10000000000000000000000000000000004D -S31540034A20000000000000000000000000000000003D -S31540034A30000000000000000000000000000000002D -S31540034A40000000000000000000000000000000001D -S31540034A50000000000000000000000000000000000D -S31540034A6000000000000000000000000000000000FD -S31540034A7000000000000000000000000000000000ED -S31540034A8000000000000000000000000000000000DD -S31540034A9000000000000000000000000000000000CD -S31540034AA000000000000000000000000000000000BD -S31540034AB000000000000000000000000000000000AD -S31540034AC0000000000000000000000000000000009D -S31540034AD0000000000000000000000000000000008D -S31540034AE0000000000000000000000000000000007D -S31540034AF0000000000000000000000000000000006D -S31540034B00000000000000000000000000000000005C -S31540034B10000000000000000000000000000000004C -S31540034B20000000000000000000000000000000003C -S31540034B30000000000000000000000000000000002C -S31540034B40000000000000000000000000000000001C -S31540034B50000000000000000000000000000000000C -S31540034B6000000000000000000000000000000000FC -S31540034B7000000000000000000000000000000000EC -S31540034B8000000000000000000000000000000000DC -S31540034B9000000000000000000000000000000000CC -S31540034BA000000000000000000000000000000000BC -S31540034BB000000000000000000000000000000000AC -S31540034BC0000000000000000000000000000000009C -S31540034BD0000000000000000000000000000000008C -S31540034BE0000000000000000000000000000000007C -S31540034BF0000000000000000000000000000000006C -S31540034C00000000000000000000000000000000005B -S31540034C10000000000000000000000000000000004B -S31540034C20000000000000000000000000000000003B -S31540034C30000000000000000000000000000000002B -S31540034C40000000000000000000000000000000001B -S31540034C50000000000000000000000000000000000B -S31540034C6000000000000000000000000000000000FB -S31540034C7000000000000000000000000000000000EB -S31540034C8000000000000000000000000000000000DB -S31540034C9000000000000000000000000000000000CB -S31540034CA000000000000000000000000000000000BB -S31540034CB000000000000000000000000000000000AB -S31540034CC0000000000000000000000000000000009B -S31540034CD0000000000000000000000000000000008B -S31540034CE0000000000000000000000000000000007B -S31540034CF0000000000000000000000000000000006B -S31540034D00000000000000000000000000000000005A -S31540034D10000000000000000000000000000000004A -S31540034D20000000000000000000000000000000003A -S31540034D30000000000000000000000000000000002A -S31540034D40000000000000000000000000000000001A -S31540034D50000000000000000000000000000000000A -S31540034D6000000000000000000000000000000000FA -S31540034D7000000000000000000000000000000000EA -S31540034D8000000000000000000000000000000000DA -S31540034D9000000000000000000000000000000000CA -S31540034DA000000000000000000000000000000000BA -S31540034DB000000000000000000000000000000000AA -S31540034DC0000000000000000000000000000000009A -S31540034DD0000000000000000000000000000000008A -S31540034DE0000000000000000000000000000000007A -S31540034DF0000000000000000000000000000000006A -S31540034E000000000000000000000000000000000059 -S31540034E100000000000000000000000000000000049 -S31540034E200000000000000000000000000000000039 -S31540034E300000000000000000000000000000000029 -S31540034E400000000000000000000000000000000019 -S31540034E500000000000000000000000000000000009 -S31540034E6000000000000000000000000000000000F9 -S31540034E7000000000000000000000000000000000E9 -S31540034E8000000000000000000000000000000000D9 -S31540034E9000000000000000000000000000000000C9 -S31540034EA000000000000000000000000000000000B9 -S31540034EB000000000000000000000000000000000A9 -S31540034EC00000000000000000000000000000000099 -S31540034ED00000000000000000000000000000000089 -S31540034EE00000000000000000000000000000000079 -S31540034EF00000000000000000000000000000000069 -S31540034F000000000000000000000000000000000058 -S31540034F100000000000000000000000000000000048 -S31540034F200000000000000000000000000000000038 -S31540034F300000000000000000000000000000000028 -S31540034F400000000000000000000000000000000018 -S31540034F500000000000000000000000000000000008 -S31540034F6000000000000000000000000000000000F8 -S31540034F7000000000000000000000000000000000E8 -S31540034F8000000000000000000000000000000000D8 -S31540034F9000000000000000000000000000000000C8 -S31540034FA000000000000000000000000000000000B8 -S31540034FB000000000000000000000000000000000A8 -S31540034FC00000000000000000000000000000000098 -S31540034FD00000000000000000000000000000000088 -S31540034FE00000000000000000000000000000000078 -S31540034FF00000000000000000000000000000000068 -S315400350000000000000000000000000000000000057 -S315400350100000000000000000000000000000000047 -S315400350200000000000000000000000000000000037 -S315400350300000000000000000000000000000000027 -S315400350400000000000000000000000000000000017 -S315400350500000000000000000000000000000000007 -S3154003506000000000000000000000000000000000F7 -S3154003507000000000000000000000000000000000E7 -S3154003508000000000000000000000000000000000D7 -S3154003509000000000000000000000000000000000C7 -S315400350A000000000000000000000000000000000B7 -S315400350B000000000000000000000000000000000A7 -S315400350C00000000000000000000000000000000097 -S315400350D00000000000000000000000000000000087 -S315400350E00000000000000000000000000000000077 -S315400350F00000000000000000000000000000000067 -S315400351000000000000000000000000000000000056 -S315400351100000000000000000000000000000000046 -S315400351200000000000000000000000000000000036 -S315400351300000000000000000000000000000000026 -S315400351400000000000000000000000000000000016 -S315400351500000000000000000000000000000000006 -S3154003516000000000000000000000000000000000F6 -S3154003517000000000000000000000000000000000E6 -S3154003518000000000000000000000000000000000D6 -S3154003519000000000000000000000000000000000C6 -S315400351A000000000000000000000000000000000B6 -S315400351B000000000000000000000000000000000A6 -S315400351C00000000000000000000000000000000096 -S315400351D00000000000000000000000000000000086 -S315400351E00000000000000000000000000000000076 -S315400351F00000000000000000000000000000000066 -S315400352000000000000000000000000000000000055 -S315400352100000000000000000000000000000000045 -S315400352200000000000000000000000000000000035 -S315400352300000000000000000000000000000000025 -S315400352400000000000000000000000000000000015 -S315400352500000000000000000000000000000000005 -S3154003526000000000000000000000000000000000F5 -S3154003527000000000000000000000000000000000E5 -S3154003528000000000000000000000000000000000D5 -S3154003529000000000000000000000000000000000C5 -S315400352A000000000000000000000000000000000B5 -S315400352B000000000000000000000000000000000A5 -S315400352C00000000000000000000000000000000095 -S315400352D00000000000000000000000000000000085 -S315400352E00000000000000000000000000000000075 -S315400352F00000000000000000000000000000000065 -S315400353000000000000000000000000000000000054 -S315400353100000000000000000000000000000000044 -S315400353200000000000000000000000000000000034 -S315400353300000000000000000000000000000000024 -S315400353400000000000000000000000000000000014 -S315400353500000000000000000000000000000000004 -S3154003536000000000000000000000000000000000F4 -S3154003537000000000000000000000000000000000E4 -S3154003538000000000000000000000000000000000D4 -S3154003539000000000000000000000000000000000C4 -S315400353A000000000000000000000000000000000B4 -S315400353B000000000000000000000000000000000A4 -S315400353C00000000000000000000000000000000094 -S315400353D00000000000000000000000000000000084 -S315400353E00000000000000000000000000000000074 -S315400353F00000000000000000000000000000000064 -S315400354000000000000000000000000000000000053 -S315400354100000000000000000000000000000000043 -S315400354200000000000000000000000000000000033 -S315400354300000000000000000000000000000000023 -S315400354400000000000000000000000000000000013 -S315400354500000000000000000000000000000000003 -S3154003546000000000000000000000000000000000F3 -S3154003547000000000000000000000000000000000E3 -S3154003548000000000000000000000000000000000D3 -S3154003549000000000000000000000000000000000C3 -S315400354A000000000000000000000000000000000B3 -S315400354B000000000000000000000000000000000A3 -S315400354C00000000000000000000000000000000093 -S315400354D00000000000000000000000000000000083 -S315400354E00000000000000000000000000000000073 -S315400354F00000000000000000000000000000000063 -S315400355000000000000000000000000000000000052 -S315400355100000000000000000000000000000000042 -S315400355200000000000000000000000000000000032 -S315400355300000000000000000000000000000000022 -S315400355400000000000000000000000000000000012 -S315400355500000000000000000000000000000000002 -S3154003556000000000000000000000000000000000F2 -S3154003557000000000000000000000000000000000E2 -S3154003558000000000000000000000000000000000D2 -S3154003559000000000000000000000000000000000C2 -S315400355A000000000000000000000000000000000B2 -S315400355B000000000000000000000000000000000A2 -S315400355C00000000000000000000000000000000092 -S315400355D00000000000000000000000000000000082 -S315400355E00000000000000000000000000000000072 -S315400355F00000000000000000000000000000000062 -S315400356000000000000000000000000000000000051 -S315400356100000000000000000000000000000000041 -S315400356200000000000000000000000000000000031 -S315400356300000000000000000000000000000000021 -S315400356400000000000000000000000000000000011 -S315400356500000000000000000000000000000000001 -S3154003566000000000000000000000000000000000F1 -S3154003567000000000000000000000000000000000E1 -S3154003568000000000000000000000000000000000D1 -S3154003569000000000000000000000000000000000C1 -S315400356A000000000000000000000000000000000B1 -S315400356B000000000000000000000000000000000A1 -S315400356C00000000000000000000000000000000091 -S315400356D00000000000000000000000000000000081 -S315400356E00000000000000000000000000000000071 -S315400356F00000000000000000000000000000000061 -S315400357000000000000000000000000000000000050 -S315400357100000000000000000000000000000000040 -S315400357200000000000000000000000000000000030 -S315400357300000000000000000000000000000000020 -S315400357400000000000000000000000000000000010 -S315400357500000000000000000000000000000000000 -S3154003576000000000000000000000000000000000F0 -S3154003577000000000000000000000000000000000E0 -S3154003578000000000000000000000000000000000D0 -S3154003579000000000000000000000000000000000C0 -S315400357A000000000000000000000000000000000B0 -S315400357B000000000000000000000000000000000A0 -S315400357C00000000000000000000000000000000090 -S315400357D00000000000000000000000000000000080 -S315400357E00000000000000000000000000000000070 -S315400357F00000000000000000000000000000000060 -S31540035800000000000000000000000000000000004F -S31540035810000000000000000000000000000000003F -S31540035820000000000000000000000000000000002F -S31540035830000000000000000000000000000000001F -S31540035840000000000000000000000000000000000F -S3154003585000000000000000000000000000000000FF -S3154003586000000000000000000000000000000000EF -S3154003587000000000000000000000000000000000DF -S3154003588000000000000000000000000000000000CF -S3154003589000000000000000000000000000000000BF -S315400358A000000000000000000000000000000000AF -S315400358B0000000000000000000000000000000009F -S315400358C0000000000000000000000000000000008F -S315400358D0000000000000000000000000000000007F -S315400358E0000000000000000000000000000000006F -S315400358F0000000000000000000000000000000005F -S31540035900000000000000000000000000000000004E -S31540035910000000000000000000000000000000003E -S31540035920000000000000000000000000000000002E -S31540035930000000000000000000000000000000001E -S31540035940000000000000000000000000000000000E -S3154003595000000000000000000000000000000000FE -S3154003596000000000000000000000000000000000EE -S3154003597000000000000000000000000000000000DE -S3154003598000000000000000000000000000000000CE -S3154003599000000000000000000000000000000000BE -S315400359A000000000000000000000000000000000AE -S315400359B0000000000000000000000000000000009E -S315400359C0000000000000000000000000000000008E -S315400359D0000000000000000000000000000000007E -S315400359E0000000000000000000000000000000006E -S315400359F0000000000000000000000000000000005E -S31540035A00000000000000000000000000000000004D -S31540035A10000000000000000000000000000000003D -S31540035A20000000000000000000000000000000002D -S31540035A30000000000000000000000000000000001D -S31540035A40000000000000000000000000000000000D -S31540035A5000000000000000000000000000000000FD -S31540035A6000000000000000000000000000000000ED -S31540035A7000000000000000000000000000000000DD -S31540035A8000000000000000000000000000000000CD -S31540035A9000000000000000000000000000000000BD -S31540035AA000000000000000000000000000000000AD -S31540035AB0000000000000000000000000000000009D -S31540035AC0000000000000000000000000000000008D -S31540035AD0000000000000000000000000000000007D -S31540035AE0000000000000000000000000000000006D -S31540035AF0000000000000000000000000000000005D -S31540035B00000000000000000000000000000000004C -S31540035B10000000000000000000000000000000003C -S31540035B20000000000000000000000000000000002C -S31540035B30000000000000000000000000000000001C -S31540035B40000000000000000000000000000000000C -S31540035B5000000000000000000000000000000000FC -S31540035B6000000000000000000000000000000000EC -S31540035B7000000000000000000000000000000000DC -S31540035B8000000000000000000000000000000000CC -S31540035B9000000000000000000000000000000000BC -S31540035BA000000000000000000000000000000000AC -S31540035BB0000000000000000000000000000000009C -S31540035BC0000000000000000000000000000000008C -S31540035BD0000000000000000000000000000000007C -S31540035BE0000000000000000000000000000000006C -S31540035BF0000000000000000000000000000000005C -S31540035C00000000000000000000000000000000004B -S31540035C10000000000000000000000000000000003B -S31540035C20000000000000000000000000000000002B -S31540035C30000000000000000000000000000000001B -S31540035C40000000000000000000000000000000000B -S31540035C5000000000000000000000000000000000FB -S31540035C6000000000000000000000000000000000EB -S31540035C7000000000000000000000000000000000DB -S31540035C8000000000000000000000000000000000CB -S31540035C9000000000000000000000000000000000BB -S31540035CA000000000000000000000000000000000AB -S31540035CB0000000000000000000000000000000009B -S31540035CC0000000000000000000000000000000008B -S31540035CD0000000000000000000000000000000007B -S31540035CE0000000000000000000000000000000006B -S31540035CF0000000000000000000000000000000005B -S31540035D00000000000000000000000000000000004A -S31540035D10000000000000000000000000000000003A -S31540035D20000000000000000000000000000000002A -S31540035D30000000000000000000000000000000001A -S31540035D40000000000000000000000000000000000A -S31540035D5000000000000000000000000000000000FA -S31540035D6000000000000000000000000000000000EA -S31540035D7000000000000000000000000000000000DA -S31540035D8000000000000000000000000000000000CA -S31540035D9000000000000000000000000000000000BA -S31540035DA000000000000000000000000000000000AA -S31540035DB0000000000000000000000000000000009A -S31540035DC0000000000000000000000000000000008A -S31540035DD0000000000000000000000000000000007A -S31540035DE0000000000000000000000000000000006A -S31540035DF0000000000000000000000000000000005A -S31540035E000000000000000000000000000000000049 -S31540035E100000000000000000000000000000000039 -S31540035E200000000000000000000000000000000029 -S31540035E300000000000000000000000000000000019 -S31540035E400000000000000000000000000000000009 -S31540035E5000000000000000000000000000000000F9 -S31540035E6000000000000000000000000000000000E9 -S31540035E7000000000000000000000000000000000D9 -S31540035E8000000000000000000000000000000000C9 -S31540035E9000000000000000000000000000000000B9 -S31540035EA000000000000000000000000000000000A9 -S31540035EB00000000000000000000000000000000099 -S31540035EC00000000000000000000000000000000089 -S31540035ED00000000000000000000000000000000079 -S31540035EE00000000000000000000000000000000069 -S31540035EF00000000000000000000000000000000059 -S31540035F000000000000000000000000000000000048 -S31540035F100000000000000000000000000000000038 -S31540035F200000000000000000000000000000000028 -S31540035F300000000000000000000000000000000018 -S31540035F400000000000000000000000000000000008 -S31540035F5000000000000000000000000000000000F8 -S31540035F6000000000000000000000000000000000E8 -S31540035F7000000000000000000000000000000000D8 -S31540035F8000000000000000000000000000000000C8 -S31540035F9000000000000000000000000000000000B8 -S31540035FA000000000000000000000000000000000A8 -S31540035FB00000000000000000000000000000000098 -S31540035FC00000000000000000000000000000000088 -S31540035FD00000000000000000000000000000000078 -S31540035FE00000000000000000000000000000000068 -S31540035FF00000000000000000000000000000000058 -S315400360000000000000000000000000000000000047 -S315400360100000000000000000000000000000000037 -S315400360200000000000000000000000000000000027 -S315400360300000000000000000000000000000000017 -S315400360400000000000000000000000000000000007 -S3154003605000000000000000000000000000000000F7 -S3154003606000000000000000000000000000000000E7 -S3154003607000000000000000000000000000000000D7 -S3154003608000000000000000000000000000000000C7 -S3154003609000000000000000000000000000000000B7 -S315400360A000000000000000000000000000000000A7 -S315400360B00000000000000000000000000000000097 -S315400360C00000000000000000000000000000000087 -S315400360D00000000000000000000000000000000077 -S315400360E00000000000000000000000000000000067 -S315400360F00000000000000000000000000000000057 -S315400361000000000000000000000000000000000046 -S315400361100000000000000000000000000000000036 -S315400361200000000000000000000000000000000026 -S315400361300000000000000000000000000000000016 -S315400361400000000000000000000000000000000006 -S3154003615000000000000000000000000000000000F6 -S3154003616000000000000000000000000000000000E6 -S3154003617000000000000000000000000000000000D6 -S3154003618000000000000000000000000000000000C6 -S3154003619000000000000000000000000000000000B6 -S315400361A000000000000000000000000000000000A6 -S315400361B00000000000000000000000000000000096 -S315400361C00000000000000000000000000000000086 -S315400361D00000000000000000000000000000000076 -S315400361E00000000000000000000000000000000066 -S315400361F00000000000000000000000000000000056 -S315400362000000000000000000000000000000000045 -S315400362100000000000000000000000000000000035 -S315400362200000000000000000000000000000000025 -S315400362300000000000000000000000000000000015 -S315400362400000000000000000000000000000000005 -S3154003625000000000000000000000000000000000F5 -S3154003626000000000000000000000000000000000E5 -S3154003627000000000000000000000000000000000D5 -S3154003628000000000000000000000000000000000C5 -S3154003629000000000000000000000000000000000B5 -S315400362A000000000000000000000000000000000A5 -S315400362B00000000000000000000000000000000095 -S315400362C00000000000000000000000000000000085 -S315400362D00000000000000000000000000000000075 -S315400362E00000000000000000000000000000000065 -S315400362F00000000000000000000000000000000055 -S315400363000000000000000000000000000000000044 -S315400363100000000000000000000000000000000034 -S315400363200000000000000000000000000000000024 -S315400363300000000000000000000000000000000014 -S315400363400000000000000000000000000000000004 -S3154003635000000000000000000000000000000000F4 -S3154003636000000000000000000000000000000000E4 -S3154003637000000000000000000000000000000000D4 -S3154003638000000000000000000000000000000000C4 -S3154003639000000000000000000000000000000000B4 -S315400363A000000000000000000000000000000000A4 -S315400363B00000000000000000000000000000000094 -S315400363C00000000000000000000000000000000084 -S315400363D00000000000000000000000000000000074 -S315400363E00000000000000000000000000000000064 -S315400363F00000000000000000000000000000000054 -S315400364000000000000000000000000000000000043 -S315400364100000000000000000000000000000000033 -S315400364200000000000000000000000000000000023 -S315400364300000000000000000000000000000000013 -S315400364400000000000000000000000000000000003 -S3154003645000000000000000000000000000000000F3 -S3154003646000000000000000000000000000000000E3 -S3154003647000000000000000000000000000000000D3 -S3154003648000000000000000000000000000000000C3 -S3154003649000000000000000000000000000000000B3 -S315400364A000000000000000000000000000000000A3 -S315400364B00000000000000000000000000000000093 -S315400364C00000000000000000000000000000000083 -S315400364D00000000000000000000000000000000073 -S315400364E00000000000000000000000000000000063 -S315400364F00000000000000000000000000000000053 -S315400365000000000000000000000000000000000042 -S315400365100000000000000000000000000000000032 -S315400365200000000000000000000000000000000022 -S315400365300000000000000000000000000000000012 -S315400365400000000000000000000000000000000002 -S3154003655000000000000000000000000000000000F2 -S3154003656000000000000000000000000000000000E2 -S3154003657000000000000000000000000000000000D2 -S3154003658000000000000000000000000000000000C2 -S3154003659000000000000000000000000000000000B2 -S315400365A000000000000000000000000000000000A2 -S315400365B00000000000000000000000000000000092 -S315400365C00000000000000000000000000000000082 -S315400365D00000000000000000000000000000000072 -S315400365E00000000000000000000000000000000062 -S315400365F00000000000000000000000000000000052 -S315400366000000000000000000000000000000000041 -S315400366100000000000000000000000000000000031 -S315400366200000000000000000000000000000000021 -S315400366300000000000000000000000000000000011 -S315400366400000000000000000000000000000000001 -S3154003665000000000000000000000000000000000F1 -S3154003666000000000000000000000000000000000E1 -S3154003667000000000000000000000000000000000D1 -S3154003668000000000000000000000000000000000C1 -S3154003669000000000000000000000000000000000B1 -S315400366A000000000000000000000000000000000A1 -S315400366B00000000000000000000000000000000091 -S315400366C00000000000000000000000000000000081 -S315400366D00000000000000000000000000000000071 -S315400366E00000000000000000000000000000000061 -S315400366F00000000000000000000000000000000051 -S315400367000000000000000000000000000000000040 -S315400367100000000000000000000000000000000030 -S315400367200000000000000000000000000000000020 -S315400367300000000000000000000000000000000010 -S315400367400000000000000000000000000000000000 -S3154003675000000000000000000000000000000000F0 -S3154003676000000000000000000000000000000000E0 -S3154003677000000000000000000000000000000000D0 -S3154003678000000000000000000000000000000000C0 -S3154003679000000000000000000000000000000000B0 -S315400367A000000000000000000000000000000000A0 -S315400367B00000000000000000000000000000000090 -S315400367C00000000000000000000000000000000080 -S315400367D00000000000000000000000000000000070 -S315400367E00000000000000000000000000000000060 -S315400367F00000000000000000000000000000000050 -S31540036800000000000000000000000000000000003F -S31540036810000000000000000000000000000000002F -S31540036820000000000000000000000000000000001F -S31540036830000000000000000000000000000000000F -S3154003684000000000000000000000000000000000FF -S3154003685000000000000000000000000000000000EF -S3154003686000000000000000000000000000000000DF -S3154003687000000000000000000000000000000000CF -S3154003688000000000000000000000000000000000BF -S3154003689000000000000000000000000000000000AF -S315400368A0000000000000000000000000000000009F -S315400368B0000000000000000000000000000000008F -S315400368C0000000000000000000000000000000007F -S315400368D0000000000000000000000000000000006F -S315400368E0000000000000000000000000000000005F -S315400368F0000000000000000000000000000000004F -S31540036900000000000000000000000000000000003E -S31540036910000000000000000000000000000000002E -S31540036920000000000000000000000000000000001E -S31540036930000000000000000000000000000000000E -S3154003694000000000000000000000000000000000FE -S3154003695000000000000000000000000000000000EE -S3154003696000000000000000000000000000000000DE -S3154003697000000000000000000000000000000000CE -S3154003698000000000000000000000000000000000BE -S3154003699000000000000000000000000000000000AE -S315400369A0000000000000000000000000000000009E -S315400369B0000000000000000000000000000000008E -S315400369C0000000000000000000000000000000007E -S315400369D0000000000000000000000000000000006E -S315400369E0000000000000000000000000000000005E -S315400369F0000000000000000000000000000000004E -S31540036A00000000000000000000000000000000003D -S31540036A10000000000000000000000000000000002D -S31540036A20000000000000000000000000000000001D -S31540036A30000000000000000000000000000000000D -S31540036A4000000000000000000000000000000000FD -S31540036A5000000000000000000000000000000000ED -S31540036A6000000000000000000000000000000000DD -S31540036A7000000000000000000000000000000000CD -S31540036A8000000000000000000000000000000000BD -S31540036A9000000000000000000000000000000000AD -S31540036AA0000000000000000000000000000000009D -S31540036AB0000000000000000000000000000000008D -S31540036AC0000000000000000000000000000000007D -S31540036AD0000000000000000000000000000000006D -S31540036AE0000000000000000000000000000000005D -S31540036AF0000000000000000000000000000000004D -S31540036B00000000000000000000000000000000003C -S31540036B10000000000000000000000000000000002C -S31540036B20000000000000000000000000000000001C -S31540036B30000000000000000000000000000000000C -S31540036B4000000000000000000000000000000000FC -S31540036B5000000000000000000000000000000000EC -S31540036B6000000000000000000000000000000000DC -S31540036B7000000000000000000000000000000000CC -S31540036B8000000000000000000000000000000000BC -S31540036B9000000000000000000000000000000000AC -S31540036BA0000000000000000000000000000000009C -S31540036BB0000000000000000000000000000000008C -S31540036BC0000000000000000000000000000000007C -S31540036BD0000000000000000000000000000000006C -S31540036BE0000000000000000000000000000000005C -S31540036BF0000000000000000000000000000000004C -S31540036C00000000000000000000000000000000003B -S31540036C10000000000000000000000000000000002B -S31540036C20000000000000000000000000000000001B -S31540036C30000000000000000000000000000000000B -S31540036C4000000000000000000000000000000000FB -S31540036C5000000000000000000000000000000000EB -S31540036C6000000000000000000000000000000000DB -S31540036C7000000000000000000000000000000000CB -S31540036C8000000000000000000000000000000000BB -S31540036C9000000000000000000000000000000000AB -S31540036CA0000000000000000000000000000000009B -S31540036CB0000000000000000000000000000000008B -S31540036CC0000000000000000000000000000000007B -S31540036CD0000000000000000000000000000000006B -S31540036CE0000000000000000000000000000000005B -S31540036CF0000000000000000000000000000000004B -S31540036D00000000000000000000000000000000003A -S31540036D10000000000000000000000000000000002A -S31540036D20000000000000000000000000000000001A -S31540036D30000000000000000000000000000000000A -S31540036D4000000000000000000000000000000000FA -S31540036D5000000000000000000000000000000000EA -S31540036D6000000000000000000000000000000000DA -S31540036D7000000000000000000000000000000000CA -S31540036D8000000000000000000000000000000000BA -S31540036D9000000000000000000000000000000000AA -S31540036DA0000000000000000000000000000000009A -S31540036DB0000000000000000000000000000000008A -S31540036DC0000000000000000000000000000000007A -S31540036DD0000000000000000000000000000000006A -S31540036DE0000000000000000000000000000000005A -S31540036DF0000000000000000000000000000000004A -S31540036E000000000000000000000000000000000039 -S31540036E100000000000000000000000000000000029 -S31540036E200000000000000000000000000000000019 -S31540036E300000000000000000000000000000000009 -S31540036E4000000000000000000000000000000000F9 -S31540036E5000000000000000000000000000000000E9 -S31540036E6000000000000000000000000000000000D9 -S31540036E7000000000000000000000000000000000C9 -S31540036E8000000000000000000000000000000000B9 -S31540036E9000000000000000000000000000000000A9 -S31540036EA00000000000000000000000000000000099 -S31540036EB00000000000000000000000000000000089 -S31540036EC00000000000000000000000000000000079 -S31540036ED00000000000000000000000000000000069 -S31540036EE00000000000000000000000000000000059 -S31540036EF00000000000000000000000000000000049 -S31540036F000000000000000000000000000000000038 -S31540036F100000000000000000000000000000000028 -S31540036F200000000000000000000000000000000018 -S31540036F300000000000000000000000000000000008 -S31540036F4000000000000000000000000000000000F8 -S31540036F5000000000000000000000000000000000E8 -S31540036F6000000000000000000000000000000000D8 -S31540036F7000000000000000000000000000000000C8 -S31540036F8000000000000000000000000000000000B8 -S31540036F9000000000000000000000000000000000A8 -S31540036FA00000000000000000000000000000000098 -S31540036FB00000000000000000000000000000000088 -S31540036FC00000000000000000000000000000000078 -S31540036FD00000000000000000000000000000000068 -S31540036FE00000000000000000000000000000000058 -S31540036FF00000000000000000000000000000000048 -S315400370000000000000000000000000000000000037 -S315400370100000000000000000000000000000000027 -S315400370200000000000000000000000000000000017 -S315400370300000000000000000000000000000000007 -S3154003704000000000000000000000000000000000F7 -S3154003705000000000000000000000000000000000E7 -S3154003706000000000000000000000000000000000D7 -S3154003707000000000000000000000000000000000C7 -S3154003708000000000000000000000000000000000B7 -S3154003709000000000000000000000000000000000A7 -S315400370A00000000000000000000000000000000097 -S315400370B00000000000000000000000000000000087 -S315400370C00000000000000000000000000000000077 -S315400370D00000000000000000000000000000000067 -S315400370E00000000000000000000000000000000057 -S315400370F00000000000000000000000000000000047 -S315400371000000000000000000000000000000000036 -S315400371100000000000000000000000000000000026 -S315400371200000000000000000000000000000000016 -S315400371300000000000000000000000000000000006 -S3154003714000000000000000000000000000000000F6 -S3154003715000000000000000000000000000000000E6 -S3154003716000000000000000000000000000000000D6 -S3154003717000000000000000000000000000000000C6 -S3154003718000000000000000000000000000000000B6 -S3154003719000000000000000000000000000000000A6 -S315400371A00000000000000000000000000000000096 -S315400371B00000000000000000000000000000000086 -S315400371C00000000000000000000000000000000076 -S315400371D00000000000000000000000000000000066 -S315400371E00000000000000000000000000000000056 -S315400371F00000000000000000000000000000000046 -S315400372000000000000000000000000000000000035 -S315400372100000000000000000000000000000000025 -S315400372200000000000000000000000000000000015 -S315400372300000000000000000000000000000000005 -S3154003724000000000000000000000000000000000F5 -S3154003725000000000000000000000000000000000E5 -S3154003726000000000000000000000000000000000D5 -S3154003727000000000000000000000000000000000C5 -S3154003728000000000000000000000000000000000B5 -S3154003729000000000000000000000000000000000A5 -S315400372A00000000000000000000000000000000095 -S315400372B00000000000000000000000000000000085 -S315400372C00000000000000000000000000000000075 -S315400372D00000000000000000000000000000000065 -S315400372E00000000000000000000000000000000055 -S315400372F00000000000000000000000000000000045 -S315400373000000000000000000000000000000000034 -S315400373100000000000000000000000000000000024 -S315400373200000000000000000000000000000000014 -S315400373300000000000000000000000000000000004 -S3154003734000000000000000000000000000000000F4 -S3154003735000000000000000000000000000000000E4 -S3154003736000000000000000000000000000000000D4 -S3154003737000000000000000000000000000000000C4 -S3154003738000000000000000000000000000000000B4 -S3154003739000000000000000000000000000000000A4 -S315400373A00000000000000000000000000000000094 -S315400373B00000000000000000000000000000000084 -S315400373C00000000000000000000000000000000074 -S315400373D00000000000000000000000000000000064 -S315400373E00000000000000000000000000000000054 -S315400373F00000000000000000000000000000000044 -S315400374000000000000000000000000000000000033 -S315400374100000000000000000000000000000000023 -S315400374200000000000000000000000000000000013 -S315400374300000000000000000000000000000000003 -S3154003744000000000000000000000000000000000F3 -S3154003745000000000000000000000000000000000E3 -S3154003746000000000000000000000000000000000D3 -S3154003747000000000000000000000000000000000C3 -S3154003748000000000000000000000000000000000B3 -S3154003749000000000000000000000000000000000A3 -S315400374A00000000000000000000000000000000093 -S315400374B00000000000000000000000000000000083 -S315400374C00000000000000000000000000000000073 -S315400374D00000000000000000000000000000000063 -S315400374E00000000000000000000000000000000053 -S315400374F00000000000000000000000000000000043 -S315400375000000000000000000000000000000000032 -S315400375100000000000000000000000000000000022 -S315400375200000000000000000000000000000000012 -S315400375300000000000000000000000000000000002 -S3154003754000000000000000000000000000000000F2 -S3154003755000000000000000000000000000000000E2 -S3154003756000000000000000000000000000000000D2 -S3154003757000000000000000000000000000000000C2 -S3154003758000000000000000000000000000000000B2 -S3154003759000000000000000000000000000000000A2 -S315400375A00000000000000000000000000000000092 -S315400375B00000000000000000000000000000000082 -S315400375C00000000000000000000000000000000072 -S315400375D00000000000000000000000000000000062 -S315400375E00000000000000000000000000000000052 -S315400375F00000000000000000000000000000000042 -S315400376000000000000000000000000000000000031 -S315400376100000000000000000000000000000000021 -S315400376200000000000000000000000000000000011 -S315400376300000000000000000000000000000000001 -S3154003764000000000000000000000000000000000F1 -S3154003765000000000000000000000000000000000E1 -S3154003766000000000000000000000000000000000D1 -S3154003767000000000000000000000000000000000C1 -S3154003768000000000000000000000000000000000B1 -S3154003769000000000000000000000000000000000A1 -S315400376A00000000000000000000000000000000091 -S315400376B00000000000000000000000000000000081 -S315400376C00000000000000000000000000000000071 -S315400376D00000000000000000000000000000000061 -S315400376E00000000000000000000000000000000051 -S315400376F00000000000000000000000000000000041 -S315400377000000000000000000000000000000000030 -S315400377100000000000000000000000000000000020 -S315400377200000000000000000000000000000000010 -S315400377300000000000000000000000000000000000 -S3154003774000000000000000000000000000000000F0 -S3154003775000000000000000000000000000000000E0 -S3154003776000000000000000000000000000000000D0 -S3154003777000000000000000000000000000000000C0 -S3154003778000000000000000000000000000000000B0 -S3154003779000000000000000000000000000000000A0 -S315400377A00000000000000000000000000000000090 -S315400377B00000000000000000000000000000000080 -S315400377C00000000000000000000000000000000070 -S315400377D00000000000000000000000000000000060 -S315400377E00000000000000000000000000000000050 -S315400377F00000000000000000000000000000000040 -S31540037800000000000000000000000000000000002F -S31540037810000000000000000000000000000000001F -S31540037820000000000000000000000000000000000F -S3154003783000000000000000000000000000000000FF -S3154003784000000000000000000000000000000000EF -S3154003785000000000000000000000000000000000DF -S3154003786000000000000000000000000000000000CF -S3154003787000000000000000000000000000000000BF -S3154003788000000000000000000000000000000000AF -S31540037890000000000000000000000000000000009F -S315400378A0000000000000000000000000000000008F -S315400378B0000000000000000000000000000000007F -S315400378C0000000000000000000000000000000006F -S315400378D0000000000000000000000000000000005F -S315400378E0000000000000000000000000000000004F -S315400378F0000000000000000000000000000000003F -S31540037900000000000000000000000000000000002E -S31540037910000000000000000000000000000000001E -S31540037920000000000000000000000000000000000E -S3154003793000000000000000000000000000000000FE -S3154003794000000000000000000000000000000000EE -S3154003795000000000000000000000000000000000DE -S3154003796000000000000000000000000000000000CE -S3154003797000000000000000000000000000000000BE -S3154003798000000000000000000000000000000000AE -S31540037990000000000000000000000000000000009E -S315400379A0000000000000000000000000000000008E -S315400379B0000000000000000000000000000000007E -S315400379C0000000000000000000000000000000006E -S315400379D0000000000000000000000000000000005E -S315400379E0000000000000000000000000000000004E -S315400379F0000000000000000000000000000000003E -S31540037A00000000000000000000000000000000002D -S31540037A10000000000000000000000000000000001D -S31540037A20000000000000000000000000000000000D -S31540037A3000000000000000000000000000000000FD -S31540037A4000000000000000000000000000000000ED -S31540037A5000000000000000000000000000000000DD -S31540037A6000000000000000000000000000000000CD -S31540037A7000000000000000000000000000000000BD -S31540037A8000000000000000000000000000000000AD -S31540037A90000000000000000000000000000000009D -S31540037AA0000000000000000000000000000000008D -S31540037AB0000000000000000000000000000000007D -S31540037AC0000000000000000000000000000000006D -S31540037AD0000000000000000000000000000000005D -S31540037AE0000000000000000000000000000000004D -S31540037AF0000000000000000000000000000000003D -S31540037B00000000000000000000000000000000002C -S31540037B10000000000000000000000000000000001C -S31540037B20000000000000000000000000000000000C -S31540037B3000000000000000000000000000000000FC -S31540037B4000000000000000000000000000000000EC -S31540037B5000000000000000000000000000000000DC -S31540037B6000000000000000000000000000000000CC -S31540037B7000000000000000000000000000000000BC -S31540037B8000000000000000000000000000000000AC -S31540037B90000000000000000000000000000000009C -S31540037BA0000000000000000000000000000000008C -S31540037BB0000000000000000000000000000000007C -S31540037BC0000000000000000000000000000000006C -S31540037BD0000000000000000000000000000000005C -S31540037BE0000000000000000000000000000000004C -S31540037BF0000000000000000000000000000000003C -S31540037C00000000000000000000000000000000002B -S31540037C10000000000000000000000000000000001B -S31540037C20000000000000000000000000000000000B -S31540037C3000000000000000000000000000000000FB -S31540037C4000000000000000000000000000000000EB -S31540037C5000000000000000000000000000000000DB -S31540037C6000000000000000000000000000000000CB -S31540037C7000000000000000000000000000000000BB -S31540037C8000000000000000000000000000000000AB -S31540037C90000000000000000000000000000000009B -S31540037CA0000000000000000000000000000000008B -S31540037CB0000000000000000000000000000000007B -S31540037CC0000000000000000000000000000000006B -S31540037CD0000000000000000000000000000000005B -S31540037CE0000000000000000000000000000000004B -S31540037CF0000000000000000000000000000000003B -S31540037D00000000000000000000000000000000002A -S31540037D10000000000000000000000000000000001A -S31540037D20000000000000000000000000000000000A -S31540037D3000000000000000000000000000000000FA -S31540037D4000000000000000000000000000000000EA -S31540037D5000000000000000000000000000000000DA -S31540037D6000000000000000000000000000000000CA -S31540037D7000000000000000000000000000000000BA -S31540037D8000000000000000000000000000000000AA -S31540037D90000000000000000000000000000000009A -S31540037DA0000000000000000000000000000000008A -S31540037DB0000000000000000000000000000000007A -S31540037DC0000000000000000000000000000000006A -S31540037DD0000000000000000000000000000000005A -S31540037DE0000000000000000000000000000000004A -S31540037DF0000000000000000000000000000000003A -S31540037E000000000000000000000000000000000029 -S31540037E100000000000000000000000000000000019 -S31540037E200000000000000000000000000000000009 -S31540037E3000000000000000000000000000000000F9 -S31540037E4000000000000000000000000000000000E9 -S31540037E5000000000000000000000000000000000D9 -S31540037E6000000000000000000000000000000000C9 -S31540037E7000000000000000000000000000000000B9 -S31540037E8000000000000000000000000000000000A9 -S31540037E900000000000000000000000000000000099 -S31540037EA00000000000000000000000000000000089 -S31540037EB00000000000000000000000000000000079 -S31540037EC00000000000000000000000000000000069 -S31540037ED00000000000000000000000000000000059 -S31540037EE00000000000000000000000000000000049 -S31540037EF00000000000000000000000000000000039 -S31540037F000000000000000000000000000000000028 -S31540037F100000000000000000000000000000000018 -S31540037F200000000000000000000000000000000008 -S31540037F3000000000000000000000000000000000F8 -S31540037F4000000000000000000000000000000000E8 -S31540037F5000000000000000000000000000000000D8 -S31540037F6000000000000000000000000000000000C8 -S31540037F7000000000000000000000000000000000B8 -S31540037F8000000000000000000000000000000000A8 -S31540037F900000000000000000000000000000000098 -S31540037FA00000000000000000000000000000000088 -S31540037FB00000000000000000000000000000000078 -S31540037FC00000000000000000000000000000000068 -S31540037FD00000000000000000000000000000000058 -S31540037FE00000000000000000000000000000000048 -S31540037FF00000000000000000000000000000000038 -S315400380000000000000000000000000000000000027 -S315400380100000000000000000000000000000000017 -S315400380200000000000000000000000000000000007 -S3154003803000000000000000000000000000000000F7 -S3154003804000000000000000000000000000000000E7 -S3154003805000000000000000000000000000000000D7 -S3154003806000000000000000000000000000000000C7 -S3154003807000000000000000000000000000000000B7 -S3154003808000000000000000000000000000000000A7 -S315400380900000000000000000000000000000000097 -S315400380A00000000000000000000000000000000087 -S315400380B00000000000000000000000000000000077 -S315400380C00000000000000000000000000000000067 -S315400380D00000000000000000000000000000000057 -S315400380E00000000000000000000000000000000047 -S315400380F00000000000000000000000000000000037 -S315400381000000000000000000000000000000000026 -S315400381100000000000000000000000000000000016 -S315400381200000000000000000000000000000000006 -S3154003813000000000000000000000000000000000F6 -S3154003814000000000000000000000000000000000E6 -S3154003815000000000000000000000000000000000D6 -S3154003816000000000000000000000000000000000C6 -S3154003817000000000000000000000000000000000B6 -S3154003818000000000000000000000000000000000A6 -S315400381900000000000000000000000000000000096 -S315400381A00000000000000000000000000000000086 -S315400381B00000000000000000000000000000000076 -S315400381C00000000000000000000000000000000066 -S315400381D00000000000000000000000000000000056 -S315400381E00000000000000000000000000000000046 -S315400381F00000000000000000000000000000000036 -S315400382000000000000000000000000000000000025 -S315400382100000000000000000000000000000000015 -S315400382200000000000000000000000000000000005 -S3154003823000000000000000000000000000000000F5 -S3154003824000000000000000000000000000000000E5 -S3154003825000000000000000000000000000000000D5 -S3154003826000000000000000000000000000000000C5 -S3154003827000000000000000000000000000000000B5 -S3154003828000000000000000000000000000000000A5 -S315400382900000000000000000000000000000000095 -S315400382A00000000000000000000000000000000085 -S315400382B00000000000000000000000000000000075 -S315400382C00000000000000000000000000000000065 -S315400382D00000000000000000000000000000000055 -S315400382E00000000000000000000000000000000045 -S315400382F00000000000000000000000000000000035 -S315400383000000000000000000000000000000000024 -S315400383100000000000000000000000000000000014 -S315400383200000000000000000000000000000000004 -S3154003833000000000000000000000000000000000F4 -S3154003834000000000000000000000000000000000E4 -S3154003835000000000000000000000000000000000D4 -S3154003836000000000000000000000000000000000C4 -S3154003837000000000000000000000000000000000B4 -S3154003838000000000000000000000000000000000A4 -S315400383900000000000000000000000000000000094 -S315400383A00000000000000000000000000000000084 -S315400383B00000000000000000000000000000000074 -S315400383C00000000000000000000000000000000064 -S315400383D00000000000000000000000000000000054 -S315400383E00000000000000000000000000000000044 -S315400383F00000000000000000000000000000000034 -S315400384000000000000000000000000000000000023 -S315400384100000000000000000000000000000000013 -S315400384200000000000000000000000000000000003 -S3154003843000000000000000000000000000000000F3 -S3154003844000000000000000000000000000000000E3 -S3154003845000000000000000000000000000000000D3 -S3154003846000000000000000000000000000000000C3 -S3154003847000000000000000000000000000000000B3 -S3154003848000000000000000000000000000000000A3 -S315400384900000000000000000000000000000000093 -S315400384A00000000000000000000000000000000083 -S315400384B00000000000000000000000000000000073 -S315400384C00000000000000000000000000000000063 -S315400384D00000000000000000000000000000000053 -S315400384E00000000000000000000000000000000043 -S315400384F00000000000000000000000000000000033 -S315400385000000000000000000000000000000000022 -S315400385100000000000000000000000000000000012 -S315400385200000000000000000000000000000000002 -S3154003853000000000000000000000000000000000F2 -S3154003854000000000000000000000000000000000E2 -S3154003855000000000000000000000000000000000D2 -S3154003856000000000000000000000000000000000C2 -S3154003857000000000000000000000000000000000B2 -S3154003858000000000000000000000000000000000A2 -S315400385900000000000000000000000000000000092 -S315400385A00000000000000000000000000000000082 -S315400385B00000000000000000000000000000000072 -S315400385C00000000000000000000000000000000062 -S315400385D00000000000000000000000000000000052 -S315400385E00000000000000000000000000000000042 -S315400385F00000000000000000000000000000000032 -S315400386000000000000000000000000000000000021 -S315400386100000000000000000000000000000000011 -S315400386200000000000000000000000000000000001 -S3154003863000000000000000000000000000000000F1 -S3154003864000000000000000000000000000000000E1 -S3154003865000000000000000000000000000000000D1 -S3154003866000000000000000000000000000000000C1 -S3154003867000000000000000000000000000000000B1 -S3154003868000000000000000000000000000000000A1 -S315400386900000000000000000000000000000000091 -S315400386A00000000000000000000000000000000081 -S315400386B00000000000000000000000000000000071 -S315400386C00000000000000000000000000000000061 -S315400386D00000000000000000000000000000000051 -S315400386E00000000000000000000000000000000041 -S315400386F00000000000000000000000000000000031 -S315400387000000000000000000000000000000000020 -S315400387100000000000000000000000000000000010 -S315400387200000000000000000000000000000000000 -S3154003873000000000000000000000000000000000F0 -S3154003874000000000000000000000000000000000E0 -S3154003875000000000000000000000000000000000D0 -S3154003876000000000000000000000000000000000C0 -S3154003877000000000000000000000000000000000B0 -S3154003878000000000000000000000000000000000A0 -S315400387900000000000000000000000000000000090 -S315400387A00000000000000000000000000000000080 -S315400387B00000000000000000000000000000000070 -S315400387C00000000000000000000000000000000060 -S315400387D00000000000000000000000000000000050 -S315400387E00000000000000000000000000000000040 -S315400387F00000000000000000000000000000000030 -S31540038800000000000000000000000000000000001F -S31540038810000000000000000000000000000000000F -S3154003882000000000000000000000000000000000FF -S3154003883000000000000000000000000000000000EF -S3154003884000000000000000000000000000000000DF -S3154003885000000000000000000000000000000000CF -S3154003886000000000000000000000000000000000BF -S3154003887000000000000000000000000000000000AF -S31540038880000000000000000000000000000000009F -S31540038890000000000000000000000000000000008F -S315400388A0000000000000000000000000000000007F -S315400388B0000000000000000000000000000000006F -S315400388C0000000000000000000000000000000005F -S315400388D0000000000000000000000000000000004F -S315400388E0000000000000000000000000000000003F -S315400388F0000000000000000000000000000000002F -S31540038900000000000000000000000000000000001E -S31540038910000000000000000000000000000000000E -S3154003892000000000000000000000000000000000FE -S3154003893000000000000000000000000000000000EE -S3154003894000000000000000000000000000000000DE -S3154003895000000000000000000000000000000000CE -S3154003896000000000000000000000000000000000BE -S3154003897000000000000000000000000000000000AE -S31540038980000000000000000000000000000000009E -S31540038990000000000000000000000000000000008E -S315400389A0000000000000000000000000000000007E -S315400389B0000000000000000000000000000000006E -S315400389C0000000000000000000000000000000005E -S315400389D0000000000000000000000000000000004E -S315400389E0000000000000000000000000000000003E -S315400389F0000000000000000000000000000000002E -S31540038A00000000000000000000000000000000001D -S31540038A10000000000000000000000000000000000D -S31540038A2000000000000000000000000000000000FD -S31540038A3000000000000000000000000000000000ED -S31540038A4000000000000000000000000000000000DD -S31540038A5000000000000000000000000000000000CD -S31540038A6000000000000000000000000000000000BD -S31540038A7000000000000000000000000000000000AD -S31540038A80000000000000000000000000000000009D -S31540038A90000000000000000000000000000000008D -S31540038AA0000000000000000000000000000000007D -S31540038AB0000000000000000000000000000000006D -S31540038AC0000000000000000000000000000000005D -S31540038AD0000000000000000000000000000000004D -S31540038AE0000000000000000000000000000000003D -S31540038AF0000000000000000000000000000000002D -S31540038B00000000000000000000000000000000001C -S31540038B10000000000000000000000000000000000C -S31540038B2000000000000000000000000000000000FC -S31540038B3000000000000000000000000000000000EC -S31540038B4000000000000000000000000000000000DC -S31540038B5000000000000000000000000000000000CC -S31540038B6000000000000000000000000000000000BC -S31540038B7000000000000000000000000000000000AC -S31540038B80000000000000000000000000000000009C -S31540038B90000000000000000000000000000000008C -S31540038BA0000000000000000000000000000000007C -S31540038BB0000000000000000000000000000000006C -S31540038BC0000000000000000000000000000000005C -S31540038BD0000000000000000000000000000000004C -S31540038BE0000000000000000000000000000000003C -S31540038BF0000000000000000000000000000000002C -S31540038C00000000000000000000000000000000001B -S31540038C10000000000000000000000000000000000B -S31540038C2000000000000000000000000000000000FB -S31540038C3000000000000000000000000000000000EB -S31540038C4000000000000000000000000000000000DB -S31540038C5000000000000000000000000000000000CB -S31540038C6000000000000000000000000000000000BB -S31540038C7000000000000000000000000000000000AB -S31540038C80000000000000000000000000000000009B -S31540038C90000000000000000000000000000000008B -S31540038CA0000000000000000000000000000000007B -S31540038CB0000000000000000000000000000000006B -S31540038CC0000000000000000000000000000000005B -S31540038CD0000000000000000000000000000000004B -S31540038CE0000000000000000000000000000000003B -S31540038CF0000000000000000000000000000000002B -S31540038D00000000000000000000000000000000001A -S31540038D10000000000000000000000000000000000A -S31540038D2000000000000000000000000000000000FA -S31540038D3000000000000000000000000000000000EA -S31540038D4000000000000000000000000000000000DA -S31540038D5000000000000000000000000000000000CA -S31540038D6000000000000000000000000000000000BA -S31540038D7000000000000000000000000000000000AA -S31540038D80000000000000000000000000000000009A -S31540038D90000000000000000000000000000000008A -S31540038DA0000000000000000000000000000000007A -S31540038DB0000000000000000000000000000000006A -S31540038DC0000000000000000000000000000000005A -S31540038DD0000000000000000000000000000000004A -S31540038DE0000000000000000000000000000000003A -S31540038DF0000000000000000000000000000000002A -S31540038E000000000000000000000000000000000019 -S31540038E100000000000000000000000000000000009 -S31540038E2000000000000000000000000000000000F9 -S31540038E3000000000000000000000000000000000E9 -S31540038E4000000000000000000000000000000000D9 -S31540038E5000000000000000000000000000000000C9 -S31540038E6000000000000000000000000000000000B9 -S31540038E7000000000000000000000000000000000A9 -S31540038E800000000000000000000000000000000099 -S31540038E900000000000000000000000000000000089 -S31540038EA00000000000000000000000000000000079 -S31540038EB00000000000000000000000000000000069 -S31540038EC00000000000000000000000000000000059 -S31540038ED00000000000000000000000000000000049 -S31540038EE00000000000000000000000000000000039 -S31540038EF00000000000000000000000000000000029 -S31540038F000000000000000000000000000000000018 -S31540038F100000000000000000000000000000000008 -S31540038F2000000000000000000000000000000000F8 -S31540038F3000000000000000000000000000000000E8 -S31540038F4000000000000000000000000000000000D8 -S31540038F5000000000000000000000000000000000C8 -S31540038F6000000000000000000000000000000000B8 -S31540038F7000000000000000000000000000000000A8 -S31540038F800000000000000000000000000000000098 -S31540038F900000000000000000000000000000000088 -S31540038FA00000000000000000000000000000000078 -S31540038FB00000000000000000000000000000000068 -S31540038FC00000000000000000000000000000000058 -S31540038FD00000000000000000000000000000000048 -S31540038FE00000000000000000000000000000000038 -S31540038FF00000000000000000000000000000000028 -S315400390000000000000000000000000000000000017 -S315400390100000000000000000000000000000000007 -S3154003902000000000000000000000000000000000F7 -S3154003903000000000000000000000000000000000E7 -S3154003904000000000000000000000000000000000D7 -S3154003905000000000000000000000000000000000C7 -S3154003906000000000000000000000000000000000B7 -S3154003907000000000000000000000000000000000A7 -S315400390800000000000000000000000000000000097 -S315400390900000000000000000000000000000000087 -S315400390A00000000000000000000000000000000077 -S315400390B00000000000000000000000000000000067 -S315400390C00000000000000000000000000000000057 -S315400390D00000000000000000000000000000000047 -S315400390E00000000000000000000000000000000037 -S315400390F00000000000000000000000000000000027 -S315400391000000000000000000000000000000000016 -S315400391100000000000000000000000000000000006 -S3154003912000000000000000000000000000000000F6 -S3154003913000000000000000000000000000000000E6 -S3154003914000000000000000000000000000000000D6 -S3154003915000000000000000000000000000000000C6 -S3154003916000000000000000000000000000000000B6 -S3154003917000000000000000000000000000000000A6 -S315400391800000000000000000000000000000000096 -S315400391900000000000000000000000000000000086 -S315400391A00000000000000000000000000000000076 -S315400391B00000000000000000000000000000000066 -S315400391C00000000000000000000000000000000056 -S315400391D00000000000000000000000000000000046 -S315400391E00000000000000000000000000000000036 -S315400391F00000000000000000000000000000000026 -S315400392000000000000000000000000000000000015 -S315400392100000000000000000000000000000000005 -S3154003922000000000000000000000000000000000F5 -S3154003923000000000000000000000000000000000E5 -S3154003924000000000000000000000000000000000D5 -S3154003925000000000000000000000000000000000C5 -S3154003926000000000000000000000000000000000B5 -S3154003927000000000000000000000000000000000A5 -S315400392800000000000000000000000000000000095 -S315400392900000000000000000000000000000000085 -S315400392A00000000000000000000000000000000075 -S315400392B00000000000000000000000000000000065 -S315400392C00000000000000000000000000000000055 -S315400392D00000000000000000000000000000000045 -S315400392E00000000000000000000000000000000035 -S315400392F00000000000000000000000000000000025 -S315400393000000000000000000000000000000000014 -S315400393100000000000000000000000000000000004 -S3154003932000000000000000000000000000000000F4 -S3154003933000000000000000000000000000000000E4 -S3154003934000000000000000000000000000000000D4 -S3154003935000000000000000000000000000000000C4 -S3154003936000000000000000000000000000000000B4 -S3154003937000000000000000000000000000000000A4 -S315400393800000000000000000000000000000000094 -S315400393900000000000000000000000000000000084 -S315400393A00000000000000000000000000000000074 -S315400393B00000000000000000000000000000000064 -S315400393C00000000000000000000000000000000054 -S315400393D00000000000000000000000000000000044 -S315400393E00000000000000000000000000000000034 -S315400393F00000000000000000000000000000000024 -S315400394000000000000000000000000000000000013 -S315400394100000000000000000000000000000000003 -S3154003942000000000000000000000000000000000F3 -S3154003943000000000000000000000000000000000E3 -S3154003944000000000000000000000000000000000D3 -S3154003945000000000000000000000000000000000C3 -S3154003946000000000000000000000000000000000B3 -S3154003947000000000000000000000000000000000A3 -S315400394800000000000000000000000000000000093 -S315400394900000000000000000000000000000000083 -S315400394A00000000000000000000000000000000073 -S315400394B00000000000000000000000000000000063 -S315400394C00000000000000000000000000000000053 -S315400394D00000000000000000000000000000000043 -S315400394E00000000000000000000000000000000033 -S315400394F00000000000000000000000000000000023 -S315400395000000000000000000000000000000000012 -S315400395100000000000000000000000000000000002 -S3154003952000000000000000000000000000000000F2 -S3154003953000000000000000000000000000000000E2 -S3154003954000000000000000000000000000000000D2 -S3154003955000000000000000000000000000000000C2 -S3154003956000000000000000000000000000000000B2 -S3154003957000000000000000000000000000000000A2 -S315400395800000000000000000000000000000000092 -S315400395900000000000000000000000000000000082 -S315400395A00000000000000000000000000000000072 -S315400395B00000000000000000000000000000000062 -S315400395C00000000000000000000000000000000052 -S315400395D00000000000000000000000000000000042 -S315400395E00000000000000000000000000000000032 -S315400395F00000000000000000000000000000000022 -S315400396000000000000000000000000000000000011 -S315400396100000000000000000000000000000000001 -S3154003962000000000000000000000000000000000F1 -S3154003963000000000000000000000000000000000E1 -S3154003964000000000000000000000000000000000D1 -S3154003965000000000000000000000000000000000C1 -S3154003966000000000000000000000000000000000B1 -S3154003967000000000000000000000000000000000A1 -S315400396800000000000000000000000000000000091 -S315400396900000000000000000000000000000000081 -S315400396A00000000000000000000000000000000071 -S315400396B00000000000000000000000000000000061 -S315400396C00000000000000000000000000000000051 -S315400396D00000000000000000000000000000000041 -S315400396E00000000000000000000000000000000031 -S315400396F00000000000000000000000000000000021 -S315400397000000000000000000000000000000000010 -S315400397100000000000000000000000000000000000 -S3154003972000000000000000000000000000000000F0 -S3154003973000000000000000000000000000000000E0 -S3154003974000000000000000000000000000000000D0 -S3154003975000000000000000000000000000000000C0 -S3154003976000000000000000000000000000000000B0 -S3154003977000000000000000000000000000000000A0 -S315400397800000000000000000000000000000000090 -S315400397900000000000000000000000000000000080 -S315400397A00000000000000000000000000000000070 -S315400397B00000000000000000000000000000000060 -S315400397C00000000000000000000000000000000050 -S315400397D00000000000000000000000000000000040 -S315400397E00000000000000000000000000000000030 -S315400397F00000000000000000000000000000000020 -S31540039800000000000000000000000000000000000F -S3154003981000000000000000000000000000000000FF -S3154003982000000000000000000000000000000000EF -S3154003983000000000000000000000000000000000DF -S3154003984000000000000000000000000000000000CF -S3154003985000000000000000000000000000000000BF -S3154003986000000000000000000000000000000000AF -S31540039870000000000000000000000000000000009F -S31540039880000000000000000000000000000000008F -S31540039890000000000000000000000000000000007F -S315400398A0000000000000000000000000000000006F -S315400398B0000000000000000000000000000000005F -S315400398C0000000000000000000000000000000004F -S315400398D0000000000000000000000000000000003F -S315400398E0000000000000000000000000000000002F -S315400398F0000000000000000000000000000000001F -S31540039900000000000000000000000000000000000E -S3154003991000000000000000000000000000000000FE -S3154003992000000000000000000000000000000000EE -S3154003993000000000000000000000000000000000DE -S3154003994000000000000000000000000000000000CE -S3154003995000000000000000000000000000000000BE -S3154003996000000000000000000000000000000000AE -S31540039970000000000000000000000000000000009E -S31540039980000000000000000000000000000000008E -S31540039990000000000000000000000000000000007E -S315400399A0000000000000000000000000000000006E -S315400399B0000000000000000000000000000000005E -S315400399C0000000000000000000000000000000004E -S315400399D0000000000000000000000000000000003E -S315400399E0000000000000000000000000000000002E -S315400399F0000000000000000000000000000000001E -S31540039A00000000000000000000000000000000000D -S31540039A1000000000000000000000000000000000FD -S31540039A2000000000000000000000000000000000ED -S31540039A3000000000000000000000000000000000DD -S31540039A4000000000000000000000000000000000CD -S31540039A5000000000000000000000000000000000BD -S31540039A6000000000000000000000000000000000AD -S31540039A70000000000000000000000000000000009D -S31540039A80000000000000000000000000000000008D -S31540039A90000000000000000000000000000000007D -S31540039AA0000000000000000000000000000000006D -S31540039AB0000000000000000000000000000000005D -S31540039AC0000000000000000000000000000000004D -S31540039AD0000000000000000000000000000000003D -S31540039AE0000000000000000000000000000000002D -S31540039AF0000000000000000000000000000000001D -S31540039B00000000000000000000000000000000000C -S31540039B1000000000000000000000000000000000FC -S31540039B2000000000000000000000000000000000EC -S31540039B3000000000000000000000000000000000DC -S31540039B4000000000000000000000000000000000CC -S31540039B5000000000000000000000000000000000BC -S31540039B6000000000000000000000000000000000AC -S31540039B70000000000000000000000000000000009C -S31540039B80000000000000000000000000000000008C -S31540039B90000000000000000000000000000000007C -S31540039BA0000000000000000000000000000000006C -S31540039BB0000000000000000000000000000000005C -S31540039BC0000000000000000000000000000000004C -S31540039BD0000000000000000000000000000000003C -S31540039BE0000000000000000000000000000000002C -S31540039BF0000000000000000000000000000000001C -S31540039C00000000000000000000000000000000000B -S31540039C1000000000000000000000000000000000FB -S31540039C2000000000000000000000000000000000EB -S31540039C3000000000000000000000000000000000DB -S31540039C4000000000000000000000000000000000CB -S31540039C5000000000000000000000000000000000BB -S31540039C6000000000000000000000000000000000AB -S31540039C70000000000000000000000000000000009B -S31540039C80000000000000000000000000000000008B -S31540039C90000000000000000000000000000000007B -S31540039CA0000000000000000000000000000000006B -S31540039CB0000000000000000000000000000000005B -S31540039CC0000000000000000000000000000000004B -S31540039CD0000000000000000000000000000000003B -S31540039CE0000000000000000000000000000000002B -S31540039CF0000000000000000000000000000000001B -S31540039D00000000000000000000000000000000000A -S31540039D1000000000000000000000000000000000FA -S31540039D2000000000000000000000000000000000EA -S31540039D3000000000000000000000000000000000DA -S31540039D4000000000000000000000000000000000CA -S31540039D5000000000000000000000000000000000BA -S31540039D6000000000000000000000000000000000AA -S31540039D70000000000000000000000000000000009A -S31540039D80000000000000000000000000000000008A -S31540039D90000000000000000000000000000000007A -S31540039DA0000000000000000000000000000000006A -S31540039DB0000000000000000000000000000000005A -S31540039DC0000000000000000000000000000000004A -S31540039DD0000000000000000000000000000000003A -S31540039DE0000000000000000000000000000000002A -S31540039DF0000000000000000000000000000000001A -S31540039E000000000000000000000000000000000009 -S31540039E1000000000000000000000000000000000F9 -S31540039E2000000000000000000000000000000000E9 -S31540039E3000000000000000000000000000000000D9 -S31540039E4000000000000000000000000000000000C9 -S31540039E5000000000000000000000000000000000B9 -S31540039E6000000000000000000000000000000000A9 -S31540039E700000000000000000000000000000000099 -S31540039E800000000000000000000000000000000089 -S31540039E900000000000000000000000000000000079 -S31540039EA00000000000000000000000000000000069 -S31540039EB00000000000000000000000000000000059 -S31540039EC00000000000000000000000000000000049 -S31540039ED00000000000000000000000000000000039 -S31540039EE00000000000000000000000000000000029 -S31540039EF00000000000000000000000000000000019 -S31540039F000000000000000000000000000000000008 -S31540039F1000000000000000000000000000000000F8 -S31540039F2000000000000000000000000000000000E8 -S31540039F3000000000000000000000000000000000D8 -S31540039F4000000000000000000000000000000000C8 -S31540039F5000000000000000000000000000000000B8 -S31540039F6000000000000000000000000000000000A8 -S31540039F700000000000000000000000000000000098 -S31540039F800000000000000000000000000000000088 -S31540039F900000000000000000000000000000000078 -S31540039FA00000000000000000000000000000000068 -S31540039FB00000000000000000000000000000000058 -S31540039FC00000000000000000000000000000000048 -S31540039FD00000000000000000000000000000000038 -S31540039FE00000000000000000000000000000000028 -S31540039FF00000000000000000000000000000000018 -S3154003A0000000000000000000000000000000000007 -S3154003A01000000000000000000000000000000000F7 -S3154003A02000000000000000000000000000000000E7 -S3154003A03000000000000000000000000000000000D7 -S3154003A04000000000000000000000000000000000C7 -S3154003A05000000000000000000000000000000000B7 -S3154003A06000000000000000000000000000000000A7 -S3154003A0700000000000000000000000000000000097 -S3154003A0800000000000000000000000000000000087 -S3154003A0900000000000000000000000000000000077 -S3154003A0A00000000000000000000000000000000067 -S3154003A0B00000000000000000000000000000000057 -S3154003A0C00000000000000000000000000000000047 -S3154003A0D00000000000000000000000000000000037 -S3154003A0E00000000000000000000000000000000027 -S3154003A0F00000000000000000000000000000000017 -S3154003A1000000000000000000000000000000000006 -S3154003A11000000000000000000000000000000000F6 -S3154003A12000000000000000000000000000000000E6 -S3154003A13000000000000000000000000000000000D6 -S3154003A14000000000000000000000000000000000C6 -S3154003A15000000000000000000000000000000000B6 -S3154003A16000000000000000000000000000000000A6 -S3154003A1700000000000000000000000000000000096 -S3154003A1800000000000000000000000000000000086 -S3154003A1900000000000000000000000000000000076 -S3154003A1A00000000000000000000000000000000066 -S3154003A1B00000000000000000000000000000000056 -S3154003A1C00000000000000000000000000000000046 -S3154003A1D00000000000000000000000000000000036 -S3154003A1E00000000000000000000000000000000026 -S3154003A1F00000000000000000000000000000000016 -S3154003A2000000000000000000000000000000000005 -S3154003A21000000000000000000000000000000000F5 -S3154003A22000000000000000000000000000000000E5 -S3154003A23000000000000000000000000000000000D5 -S3154003A24000000000000000000000000000000000C5 -S3154003A25000000000000000000000000000000000B5 -S3154003A26000000000000000000000000000000000A5 -S3154003A2700000000000000000000000000000000095 -S3154003A2800000000000000000000000000000000085 -S3154003A2900000000000000000000000000000000075 -S3154003A2A00000000000000000000000000000000065 -S3154003A2B00000000000000000000000000000000055 -S3154003A2C00000000000000000000000000000000045 -S3154003A2D00000000000000000000000000000000035 -S3154003A2E00000000000000000000000000000000025 -S3154003A2F00000000000000000000000000000000015 -S3154003A3000000000000000000000000000000000004 -S3154003A31000000000000000000000000000000000F4 -S3154003A32000000000000000000000000000000000E4 -S3154003A33000000000000000000000000000000000D4 -S3154003A34000000000000000000000000000000000C4 -S3154003A35000000000000000000000000000000000B4 -S3154003A36000000000000000000000000000000000A4 -S3154003A3700000000000000000000000000000000094 -S3154003A3800000000000000000000000000000000084 -S3154003A3900000000000000000000000000000000074 -S3154003A3A00000000000000000000000000000000064 -S3154003A3B00000000000000000000000000000000054 -S3154003A3C00000000000000000000000000000000044 -S3154003A3D00000000000000000000000000000000034 -S3154003A3E00000000000000000000000000000000024 -S3154003A3F00000000000000000000000000000000014 -S3154003A4000000000000000000000000000000000003 -S3154003A41000000000000000000000000000000000F3 -S3154003A42000000000000000000000000000000000E3 -S3154003A43000000000000000000000000000000000D3 -S3154003A44000000000000000000000000000000000C3 -S3154003A45000000000000000000000000000000000B3 -S3154003A46000000000000000000000000000000000A3 -S3154003A4700000000000000000000000000000000093 -S3154003A4800000000000000000000000000000000083 -S3154003A4900000000000000000000000000000000073 -S3154003A4A00000000000000000000000000000000063 -S3154003A4B00000000000000000000000000000000053 -S3154003A4C00000000000000000000000000000000043 -S3154003A4D00000000000000000000000000000000033 -S3154003A4E00000000000000000000000000000000023 -S3154003A4F00000000000000000000000000000000013 -S3154003A5000000000000000000000000000000000002 -S3154003A51000000000000000000000000000000000F2 -S3154003A52000000000000000000000000000000000E2 -S3154003A53000000000000000000000000000000000D2 -S3154003A54000000000000000000000000000000000C2 -S3154003A55000000000000000000000000000000000B2 -S3154003A56000000000000000000000000000000000A2 -S3154003A5700000000000000000000000000000000092 -S3154003A5800000000000000000000000000000000082 -S3154003A5900000000000000000000000000000000072 -S3154003A5A00000000000000000000000000000000062 -S3154003A5B00000000000000000000000000000000052 -S3154003A5C00000000000000000000000000000000042 -S3154003A5D00000000000000000000000000000000032 -S3154003A5E00000000000000000000000000000000022 -S3154003A5F00000000000000000000000000000000012 -S3154003A6000000000000000000000000000000000001 -S3154003A61000000000000000000000000000000000F1 -S3154003A62000000000000000000000000000000000E1 -S3154003A63000000000000000000000000000000000D1 -S3154003A64000000000000000000000000000000000C1 -S3154003A65000000000000000000000000000000000B1 -S3154003A66000000000000000000000000000000000A1 -S3154003A6700000000000000000000000000000000091 -S3154003A6800000000000000000000000000000000081 -S3154003A6900000000000000000000000000000000071 -S3154003A6A00000000000000000000000000000000061 -S3154003A6B00000000000000000000000000000000051 -S3154003A6C00000000000000000000000000000000041 -S3154003A6D00000000000000000000000000000000031 -S3154003A6E00000000000000000000000000000000021 -S3154003A6F00000000000000000000000000000000011 -S3154003A7000000000000000000000000000000000000 -S3154003A71000000000000000000000000000000000F0 -S3154003A72000000000000000000000000000000000E0 -S3154003A73000000000000000000000000000000000D0 -S3154003A74000000000000000000000000000000000C0 -S3154003A75000000000000000000000000000000000B0 -S3154003A76000000000000000000000000000000000A0 -S3154003A7700000000000000000000000000000000090 -S3154003A7800000000000000000000000000000000080 -S3154003A7900000000000000000000000000000000070 -S3154003A7A00000000000000000000000000000000060 -S3154003A7B00000000000000000000000000000000050 -S3154003A7C00000000000000000000000000000000040 -S3154003A7D00000000000000000000000000000000030 -S3154003A7E00000000000000000000000000000000020 -S3154003A7F00000000000000000000000000000000010 -S3154003A80000000000000000000000000000000000FF -S3154003A81000000000000000000000000000000000EF -S3154003A82000000000000000000000000000000000DF -S3154003A83000000000000000000000000000000000CF -S3154003A84000000000000000000000000000000000BF -S3154003A85000000000000000000000000000000000AF -S3154003A860000000000000000000000000000000009F -S3154003A870000000000000000000000000000000008F -S3154003A880000000000000000000000000000000007F -S3154003A890000000000000000000000000000000006F -S3154003A8A0000000000000000000000000000000005F -S3154003A8B0000000000000000000000000000000004F -S3154003A8C0000000000000000000000000000000003F -S3154003A8D0000000000000000000000000000000002F -S3154003A8E0000000000000000000000000000000001F -S3154003A8F0000000000000000000000000000000000F -S3154003A90000000000000000000000000000000000FE -S3154003A91000000000000000000000000000000000EE -S3154003A92000000000000000000000000000000000DE -S3154003A93000000000000000000000000000000000CE -S3154003A94000000000000000000000000000000000BE -S3154003A95000000000000000000000000000000000AE -S3154003A960000000000000000000000000000000009E -S3154003A970000000000000000000000000000000008E -S3154003A980000000000000000000000000000000007E -S3154003A990000000000000000000000000000000006E -S3154003A9A0000000000000000000000000000000005E -S3154003A9B0000000000000000000000000000000004E -S3154003A9C0000000000000000000000000000000003E -S3154003A9D0000000000000000000000000000000002E -S3154003A9E0000000000000000000000000000000001E -S3154003A9F0000000000000000000000000000000000E -S3154003AA0000000000000000000000000000000000FD -S3154003AA1000000000000000000000000000000000ED -S3154003AA2000000000000000000000000000000000DD -S3154003AA3000000000000000000000000000000000CD -S3154003AA4000000000000000000000000000000000BD -S3154003AA5000000000000000000000000000000000AD -S3154003AA60000000000000000000000000000000009D -S3154003AA70000000000000000000000000000000008D -S3154003AA80000000000000000000000000000000007D -S3154003AA90000000000000000000000000000000006D -S3154003AAA0000000000000000000000000000000005D -S3154003AAB0000000000000000000000000000000004D -S3154003AAC0000000000000000000000000000000003D -S3154003AAD0000000000000000000000000000000002D -S3154003AAE0000000000000000000000000000000001D -S3154003AAF0000000000000000000000000000000000D -S3154003AB0000000000000000000000000000000000FC -S3154003AB1000000000000000000000000000000000EC -S3154003AB2000000000000000000000000000000000DC -S3154003AB3000000000000000000000000000000000CC -S3154003AB4000000000000000000000000000000000BC -S3154003AB5000000000000000000000000000000000AC -S3154003AB60000000000000000000000000000000009C -S3154003AB70000000000000000000000000000000008C -S3154003AB80000000000000000000000000000000007C -S3154003AB90000000000000000000000000000000006C -S3154003ABA0000000000000000000000000000000005C -S3154003ABB0000000000000000000000000000000004C -S3154003ABC0000000000000000000000000000000003C -S3154003ABD0000000000000000000000000000000002C -S3154003ABE0000000000000000000000000000000001C -S3154003ABF0000000000000000000000000000000000C -S3154003AC0000000000000000000000000000000000FB -S3154003AC1000000000000000000000000000000000EB -S3154003AC2000000000000000000000000000000000DB -S3154003AC3000000000000000000000000000000000CB -S3154003AC4000000000000000000000000000000000BB -S3154003AC5000000000000000000000000000000000AB -S3154003AC60000000000000000000000000000000009B -S3154003AC70000000000000000000000000000000008B -S3154003AC80000000000000000000000000000000007B -S3154003AC90000000000000000000000000000000006B -S3154003ACA0000000000000000000000000000000005B -S3154003ACB0000000000000000000000000000000004B -S3154003ACC0000000000000000000000000000000003B -S3154003ACD0000000000000000000000000000000002B -S3154003ACE0000000000000000000000000000000001B -S3154003ACF0000000000000000000000000000000000B -S3154003AD0000000000000000000000000000000000FA -S3154003AD1000000000000000000000000000000000EA -S3154003AD2000000000000000000000000000000000DA -S3154003AD3000000000000000000000000000000000CA -S3154003AD4000000000000000000000000000000000BA -S3154003AD5000000000000000000000000000000000AA -S3154003AD60000000000000000000000000000000009A -S3154003AD70000000000000000000000000000000008A -S3154003AD80000000000000000000000000000000007A -S3154003AD90000000000000000000000000000000006A -S3154003ADA0000000000000000000000000000000005A -S3154003ADB0000000000000000000000000000000004A -S3154003ADC0000000000000000000000000000000003A -S3154003ADD0000000000000000000000000000000002A -S3154003ADE0000000000000000000000000000000001A -S3154003ADF0000000000000000000000000000000000A -S3154003AE0000000000000000000000000000000000F9 -S3154003AE1000000000000000000000000000000000E9 -S3154003AE2000000000000000000000000000000000D9 -S3154003AE3000000000000000000000000000000000C9 -S3154003AE4000000000000000000000000000000000B9 -S3154003AE5000000000000000000000000000000000A9 -S3154003AE600000000000000000000000000000000099 -S3154003AE700000000000000000000000000000000089 -S3154003AE800000000000000000000000000000000079 -S3154003AE900000000000000000000000000000000069 -S3154003AEA00000000000000000000000000000000059 -S3154003AEB00000000000000000000000000000000049 -S3154003AEC00000000000000000000000000000000039 -S3154003AED00000000000000000000000000000000029 -S3154003AEE00000000000000000000000000000000019 -S3154003AEF00000000000000000000000000000000009 -S3154003AF0000000000000000000000000000000000F8 -S3154003AF1000000000000000000000000000000000E8 -S3154003AF2000000000000000000000000000000000D8 -S3154003AF3000000000000000000000000000000000C8 -S3154003AF4000000000000000000000000000000000B8 -S3154003AF5000000000000000000000000000000000A8 -S3154003AF600000000000000000000000000000000098 -S3154003AF700000000000000000000000000000000088 -S3154003AF800000000000000000000000000000000078 -S3154003AF900000000000000000000000000000000068 -S3154003AFA00000000000000000000000000000000058 -S3154003AFB00000000000000000000000000000000048 -S3154003AFC00000000000000000000000000000000038 -S3154003AFD00000000000000000000000000000000028 -S3154003AFE00000000000000000000000000000000018 -S3154003AFF00000000000000000000000000000000008 -S3154003B00000000000000000000000000000000000F7 -S3154003B01000000000000000000000000000000000E7 -S3154003B02000000000000000000000000000000000D7 -S3154003B03000000000000000000000000000000000C7 -S3154003B04000000000000000000000000000000000B7 -S3154003B05000000000000000000000000000000000A7 -S3154003B0600000000000000000000000000000000097 -S3154003B0700000000000000000000000000000000087 -S3154003B0800000000000000000000000000000000077 -S3154003B0900000000000000000000000000000000067 -S3154003B0A00000000000000000000000000000000057 -S3154003B0B00000000000000000000000000000000047 -S3154003B0C00000000000000000000000000000000037 -S3154003B0D00000000000000000000000000000000027 -S3154003B0E00000000000000000000000000000000017 -S3154003B0F00000000000000000000000000000000007 -S3154003B10000000000000000000000000000000000F6 -S3154003B11000000000000000000000000000000000E6 -S3154003B12000000000000000000000000000000000D6 -S3154003B13000000000000000000000000000000000C6 -S3154003B14000000000000000000000000000000000B6 -S3154003B15000000000000000000000000000000000A6 -S3154003B1600000000000000000000000000000000096 -S3154003B1700000000000000000000000000000000086 -S3154003B1800000000000000000000000000000000076 -S3154003B1900000000000000000000000000000000066 -S3154003B1A00000000000000000000000000000000056 -S3154003B1B00000000000000000000000000000000046 -S3154003B1C00000000000000000000000000000000036 -S3154003B1D00000000000000000000000000000000026 -S3154003B1E00000000000000000000000000000000016 -S3154003B1F00000000000000000000000000000000006 -S3154003B20000000000000000000000000000000000F5 -S3154003B21000000000000000000000000000000000E5 -S3154003B22000000000000000000000000000000000D5 -S3154003B23000000000000000000000000000000000C5 -S3154003B24000000000000000000000000000000000B5 -S3154003B25000000000000000000000000000000000A5 -S3154003B2600000000000000000000000000000000095 -S3154003B2700000000000000000000000000000000085 -S3154003B2800000000000000000000000000000000075 -S3154003B2900000000000000000000000000000000065 -S3154003B2A00000000000000000000000000000000055 -S3154003B2B00000000000000000000000000000000045 -S3154003B2C00000000000000000000000000000000035 -S3154003B2D00000000000000000000000000000000025 -S3154003B2E00000000000000000000000000000000015 -S3154003B2F00000000000000000000000000000000005 -S3154003B30000000000000000000000000000000000F4 -S3154003B31000000000000000000000000000000000E4 -S3154003B32000000000000000000000000000000000D4 -S3154003B33000000000000000000000000000000000C4 -S3154003B34000000000000000000000000000000000B4 -S3154003B35000000000000000000000000000000000A4 -S3154003B3600000000000000000000000000000000094 -S3154003B3700000000000000000000000000000000084 -S3154003B3800000000000000000000000000000000074 -S3154003B3900000000000000000000000000000000064 -S3154003B3A00000000000000000000000000000000054 -S3154003B3B00000000000000000000000000000000044 -S3154003B3C00000000000000000000000000000000034 -S3154003B3D00000000000000000000000000000000024 -S3154003B3E00000000000000000000000000000000014 -S3154003B3F00000000000000000000000000000000004 -S3154003B40000000000000000000000000000000000F3 -S3154003B41000000000000000000000000000000000E3 -S3154003B42000000000000000000000000000000000D3 -S3154003B43000000000000000000000000000000000C3 -S3154003B44000000000000000000000000000000000B3 -S3154003B45000000000000000000000000000000000A3 -S3154003B4600000000000000000000000000000000093 -S3154003B4700000000000000000000000000000000083 -S3154003B4800000000000000000000000000000000073 -S3154003B4900000000000000000000000000000000063 -S3154003B4A00000000000000000000000000000000053 -S3154003B4B00000000000000000000000000000000043 -S3154003B4C00000000000000000000000000000000033 -S3154003B4D00000000000000000000000000000000023 -S3154003B4E00000000000000000000000000000000013 -S3154003B4F00000000000000000000000000000000003 -S3154003B50000000000000000000000000000000000F2 -S3154003B51000000000000000000000000000000000E2 -S3154003B52000000000000000000000000000000000D2 -S3154003B53000000000000000000000000000000000C2 -S3154003B54000000000000000000000000000000000B2 -S3154003B55000000000000000000000000000000000A2 -S3154003B5600000000000000000000000000000000092 -S3154003B5700000000000000000000000000000000082 -S3154003B5800000000000000000000000000000000072 -S3154003B5900000000000000000000000000000000062 -S3154003B5A00000000000000000000000000000000052 -S3154003B5B00000000000000000000000000000000042 -S3154003B5C00000000000000000000000000000000032 -S3154003B5D00000000000000000000000000000000022 -S3154003B5E00000000000000000000000000000000012 -S3154003B5F00000000000000000000000000000000002 -S3154003B60000000000000000000000000000000000F1 -S3154003B61000000000000000000000000000000000E1 -S3154003B62000000000000000000000000000000000D1 -S3154003B63000000000000000000000000000000000C1 -S3154003B64000000000000000000000000000000000B1 -S3154003B65000000000000000000000000000000000A1 -S3154003B6600000000000000000000000000000000091 -S3154003B6700000000000000000000000000000000081 -S3154003B6800000000000000000000000000000000071 -S3154003B6900000000000000000000000000000000061 -S3154003B6A00000000000000000000000000000000051 -S3154003B6B00000000000000000000000000000000041 -S3154003B6C00000000000000000000000000000000031 -S3154003B6D00000000000000000000000000000000021 -S3154003B6E00000000000000000000000000000000011 -S3154003B6F00000000000000000000000000000000001 -S3154003B70000000000000000000000000000000000F0 -S3154003B71000000000000000000000000000000000E0 -S3154003B72000000000000000000000000000000000D0 -S3154003B73000000000000000000000000000000000C0 -S3154003B74000000000000000000000000000000000B0 -S3154003B75000000000000000000000000000000000A0 -S3154003B7600000000000000000000000000000000090 -S3154003B7700000000000000000000000000000000080 -S3154003B7800000000000000000000000000000000070 -S3154003B7900000000000000000000000000000000060 -S3154003B7A00000000000000000000000000000000050 -S3154003B7B00000000000000000000000000000000040 -S3154003B7C00000000000000000000000000000000030 -S3154003B7D00000000000000000000000000000000020 -S3154003B7E00000000000000000000000000000000010 -S3154003B7F00000000000000000000000000000000000 -S3154003B80000000000000000000000000000000000EF -S3154003B81000000000000000000000000000000000DF -S3154003B82000000000000000000000000000000000CF -S3154003B83000000000000000000000000000000000BF -S3154003B84000000000000000000000000000000000AF -S3154003B850000000000000000000000000000000009F -S3154003B860000000000000000000000000000000008F -S3154003B870000000000000000000000000000000007F -S3154003B880000000000000000000000000000000006F -S3154003B890000000000000000000000000000000005F -S3154003B8A0000000000000000000000000000000004F -S3154003B8B0000000000000000000000000000000003F -S3154003B8C0000000000000000000000000000000002F -S3154003B8D0000000000000000000000000000000001F -S3154003B8E0000000000000000000000000000000000F -S3154003B8F000000000000000000000000000000000FF -S3154003B90000000000000000000000000000000000EE -S3154003B91000000000000000000000000000000000DE -S3154003B92000000000000000000000000000000000CE -S3154003B93000000000000000000000000000000000BE -S3154003B94000000000000000000000000000000000AE -S3154003B950000000000000000000000000000000009E -S3154003B960000000000000000000000000000000008E -S3154003B970000000000000000000000000000000007E -S3154003B980000000000000000000000000000000006E -S3154003B990000000000000000000000000000000005E -S3154003B9A0000000000000000000000000000000004E -S3154003B9B0000000000000000000000000000000003E -S3154003B9C0000000000000000000000000000000002E -S3154003B9D0000000000000000000000000000000001E -S3154003B9E0000000000000000000000000000000000E -S3154003B9F000000000000000000000000000000000FE -S3154003BA0000000000000000000000000000000000ED -S3154003BA1000000000000000000000000000000000DD -S3154003BA2000000000000000000000000000000000CD -S3154003BA3000000000000000000000000000000000BD -S3154003BA4000000000000000000000000000000000AD -S3154003BA50000000000000000000000000000000009D -S3154003BA60000000000000000000000000000000008D -S3154003BA70000000000000000000000000000000007D -S3154003BA80000000000000000000000000000000006D -S3154003BA90000000000000000000000000000000005D -S3154003BAA0000000000000000000000000000000004D -S3154003BAB0000000000000000000000000000000003D -S3154003BAC0000000000000000000000000000000002D -S3154003BAD0000000000000000000000000000000001D -S3154003BAE0000000000000000000000000000000000D -S3154003BAF000000000000000000000000000000000FD -S3154003BB0000000000000000000000000000000000EC -S3154003BB1000000000000000000000000000000000DC -S3154003BB2000000000000000000000000000000000CC -S3154003BB3000000000000000000000000000000000BC -S3154003BB4000000000000000000000000000000000AC -S3154003BB50000000000000000000000000000000009C -S3154003BB60000000000000000000000000000000008C -S3154003BB70000000000000000000000000000000007C -S3154003BB80000000000000000000000000000000006C -S3154003BB90000000000000000000000000000000005C -S3154003BBA0000000000000000000000000000000004C -S3154003BBB0000000000000000000000000000000003C -S3154003BBC0000000000000000000000000000000002C -S3154003BBD0000000000000000000000000000000001C -S3154003BBE0000000000000000000000000000000000C -S3154003BBF000000000000000000000000000000000FC -S3154003BC0000000000000000000000000000000000EB -S3154003BC1000000000000000000000000000000000DB -S3154003BC2000000000000000000000000000000000CB -S3154003BC3000000000000000000000000000000000BB -S3154003BC4000000000000000000000000000000000AB -S3154003BC50000000000000000000000000000000009B -S3154003BC60000000000000000000000000000000008B -S3154003BC70000000000000000000000000000000007B -S3154003BC80000000000000000000000000000000006B -S3154003BC90000000000000000000000000000000005B -S3154003BCA0000000000000000000000000000000004B -S3154003BCB0000000000000000000000000000000003B -S3154003BCC0000000000000000000000000000000002B -S3154003BCD0000000000000000000000000000000001B -S3154003BCE0000000000000000000000000000000000B -S3154003BCF000000000000000000000000000000000FB -S3154003BD0000000000000000000000000000000000EA -S3154003BD1000000000000000000000000000000000DA -S3154003BD2000000000000000000000000000000000CA -S3154003BD3000000000000000000000000000000000BA -S3154003BD4000000000000000000000000000000000AA -S3154003BD50000000000000000000000000000000009A -S3154003BD60000000000000000000000000000000008A -S3154003BD70000000000000000000000000000000007A -S3154003BD80000000000000000000000000000000006A -S3154003BD90000000000000000000000000000000005A -S3154003BDA0000000000000000000000000000000004A -S3154003BDB0000000000000000000000000000000003A -S3154003BDC0000000000000000000000000000000002A -S3154003BDD0000000000000000000000000000000001A -S3154003BDE0000000000000000000000000000000000A -S3154003BDF000000000000000000000000000000000FA -S3154003BE0000000000000000000000000000000000E9 -S3154003BE1000000000000000000000000000000000D9 -S3154003BE2000000000000000000000000000000000C9 -S3154003BE3000000000000000000000000000000000B9 -S3154003BE4000000000000000000000000000000000A9 -S3154003BE500000000000000000000000000000000099 -S3154003BE600000000000000000000000000000000089 -S3154003BE700000000000000000000000000000000079 -S3154003BE800000000000000000000000000000000069 -S3154003BE900000000000000000000000000000000059 -S3154003BEA00000000000000000000000000000000049 -S3154003BEB00000000000000000000000000000000039 -S3154003BEC00000000000000000000000000000000029 -S3154003BED00000000000000000000000000000000019 -S3154003BEE00000000000000000000000000000000009 -S3154003BEF000000000000000000000000000000000F9 -S3154003BF0000000000000000000000000000000000E8 -S3154003BF1000000000000000000000000000000000D8 -S3154003BF2000000000000000000000000000000000C8 -S3154003BF3000000000000000000000000000000000B8 -S3154003BF4000000000000000000000000000000000A8 -S3154003BF500000000000000000000000000000000098 -S3154003BF600000000000000000000000000000000088 -S3154003BF700000000000000000000000000000000078 -S3154003BF800000000000000000000000000000000068 -S3154003BF900000000000000000000000000000000058 -S3154003BFA00000000000000000000000000000000048 -S3154003BFB00000000000000000000000000000000038 -S3154003BFC00000000000000000000000000000000028 -S3154003BFD00000000000000000000000000000000018 -S3154003BFE00000000000000000000000000000000008 -S3154003BFF000000000000000000000000000000000F8 -S3154003C00000000000000000000000000000000000E7 -S3154003C01000000000000000000000000000000000D7 -S3154003C02000000000000000000000000000000000C7 -S3154003C03000000000000000000000000000000000B7 -S3154003C04000000000000000000000000000000000A7 -S3154003C0500000000000000000000000000000000097 -S3154003C0600000000000000000000000000000000087 -S3154003C0700000000000000000000000000000000077 -S3154003C0800000000000000000000000000000000067 -S3154003C0900000000000000000000000000000000057 -S3154003C0A00000000000000000000000000000000047 -S3154003C0B00000000000000000000000000000000037 -S3154003C0C00000000000000000000000000000000027 -S3154003C0D00000000000000000000000000000000017 -S3154003C0E00000000000000000000000000000000007 -S3154003C0F000000000000000000000000000000000F7 -S3154003C10000000000000000000000000000000000E6 -S3154003C11000000000000000000000000000000000D6 -S3154003C12000000000000000000000000000000000C6 -S3154003C13000000000000000000000000000000000B6 -S3154003C14000000000000000000000000000000000A6 -S3154003C1500000000000000000000000000000000096 -S3154003C1600000000000000000000000000000000086 -S3154003C1700000000000000000000000000000000076 -S3154003C1800000000000000000000000000000000066 -S3154003C1900000000000000000000000000000000056 -S3154003C1A00000000000000000000000000000000046 -S3154003C1B00000000000000000000000000000000036 -S3154003C1C00000000000000000000000000000000026 -S3154003C1D00000000000000000000000000000000016 -S3154003C1E00000000000000000000000000000000006 -S3154003C1F000000000000000000000000000000000F6 -S3154003C20000000000000000000000000000000000E5 -S3154003C21000000000000000000000000000000000D5 -S3154003C22000000000000000000000000000000000C5 -S3154003C23000000000000000000000000000000000B5 -S3154003C24000000000000000000000000000000000A5 -S3154003C2500000000000000000000000000000000095 -S3154003C2600000000000000000000000000000000085 -S3154003C2700000000000000000000000000000000075 -S3154003C2800000000000000000000000000000000065 -S3154003C2900000000000000000000000000000000055 -S3154003C2A00000000000000000000000000000000045 -S3154003C2B00000000000000000000000000000000035 -S3154003C2C00000000000000000000000000000000025 -S3154003C2D00000000000000000000000000000000015 -S3154003C2E00000000000000000000000000000000005 -S3154003C2F000000000000000000000000000000000F5 -S3154003C30000000000000000000000000000000000E4 -S3154003C31000000000000000000000000000000000D4 -S3154003C32000000000000000000000000000000000C4 -S3154003C33000000000000000000000000000000000B4 -S3154003C34000000000000000000000000000000000A4 -S3154003C3500000000000000000000000000000000094 -S3154003C3600000000000000000000000000000000084 -S3154003C3700000000000000000000000000000000074 -S3154003C3800000000000000000000000000000000064 -S3154003C3900000000000000000000000000000000054 -S3154003C3A00000000000000000000000000000000044 -S3154003C3B00000000000000000000000000000000034 -S3154003C3C00000000000000000000000000000000024 -S3154003C3D00000000000000000000000000000000014 -S3154003C3E00000000000000000000000000000000004 -S3154003C3F000000000000000000000000000000000F4 -S3154003C40000000000000000000000000000000000E3 -S3154003C41000000000000000000000000000000000D3 -S3154003C42000000000000000000000000000000000C3 -S3154003C43000000000000000000000000000000000B3 -S3154003C44000000000000000000000000000000000A3 -S3154003C4500000000000000000000000000000000093 -S3154003C4600000000000000000000000000000000083 -S3154003C4700000000000000000000000000000000073 -S3154003C4800000000000000000000000000000000063 -S3154003C4900000000000000000000000000000000053 -S3154003C4A00000000000000000000000000000000043 -S3154003C4B00000000000000000000000000000000033 -S3154003C4C00000000000000000000000000000000023 -S3154003C4D00000000000000000000000000000000013 -S3154003C4E00000000000000000000000000000000003 -S3154003C4F000000000000000000000000000000000F3 -S3154003C50000000000000000000000000000000000E2 -S3154003C51000000000000000000000000000000000D2 -S3154003C52000000000000000000000000000000000C2 -S3154003C53000000000000000000000000000000000B2 -S3154003C54000000000000000000000000000000000A2 -S3154003C5500000000000000000000000000000000092 -S3154003C5600000000000000000000000000000000082 -S3154003C5700000000000000000000000000000000072 -S3154003C5800000000000000000000000000000000062 -S3154003C5900000000000000000000000000000000052 -S3154003C5A00000000000000000000000000000000042 -S3154003C5B00000000000000000000000000000000032 -S3154003C5C00000000000000000000000000000000022 -S3154003C5D00000000000000000000000000000000012 -S3154003C5E00000000000000000000000000000000002 -S3154003C5F000000000000000000000000000000000F2 -S3154003C60000000000000000000000000000000000E1 -S3154003C61000000000000000000000000000000000D1 -S3154003C62000000000000000000000000000000000C1 -S3154003C63000000000000000000000000000000000B1 -S3154003C64000000000000000000000000000000000A1 -S3154003C6500000000000000000000000000000000091 -S3154003C6600000000000000000000000000000000081 -S3154003C6700000000000000000000000000000000071 -S3154003C6800000000000000000000000000000000061 -S3154003C6900000000000000000000000000000000051 -S3154003C6A00000000000000000000000000000000041 -S3154003C6B00000000000000000000000000000000031 -S3154003C6C00000000000000000000000000000000021 -S3154003C6D00000000000000000000000000000000011 -S3154003C6E00000000000000000000000000000000001 -S3154003C6F000000000000000000000000000000000F1 -S3154003C70000000000000000000000000000000000E0 -S3154003C71000000000000000000000000000000000D0 -S3154003C72000000000000000000000000000000000C0 -S3154003C73000000000000000000000000000000000B0 -S3154003C74000000000000000000000000000000000A0 -S3154003C7500000000000000000000000000000000090 -S3154003C7600000000000000000000000000000000080 -S3154003C7700000000000000000000000000000000070 -S3154003C7800000000000000000000000000000000060 -S3154003C7900000000000000000000000000000000050 -S3154003C7A00000000000000000000000000000000040 -S3154003C7B00000000000000000000000000000000030 -S3154003C7C00000000000000000000000000000000020 -S3154003C7D00000000000000000000000000000000010 -S3154003C7E00000000000000000000000000000000000 -S3154003C7F000000000000000000000000000000000F0 -S3154003C80000000000000000000000000000000000DF -S3154003C81000000000000000000000000000000000CF -S3154003C82000000000000000000000000000000000BF -S3154003C83000000000000000000000000000000000AF -S3154003C840000000000000000000000000000000009F -S3154003C850000000000000000000000000000000008F -S3154003C860000000000000000000000000000000007F -S3154003C870000000000000000000000000000000006F -S3154003C880000000000000000000000000000000005F -S3154003C890000000000000000000000000000000004F -S3154003C8A0000000000000000000000000000000003F -S3154003C8B0000000000000000000000000000000002F -S3154003C8C0000000000000000000000000000000001F -S3154003C8D0000000000000000000000000000000000F -S3154003C8E000000000000000000000000000000000FF -S3154003C8F000000000000000000000000000000000EF -S3154003C90000000000000000000000000000000000DE -S3154003C91000000000000000000000000000000000CE -S3154003C92000000000000000000000000000000000BE -S3154003C93000000000000000000000000000000000AE -S3154003C940000000000000000000000000000000009E -S3154003C950000000000000000000000000000000008E -S3154003C960000000000000000000000000000000007E -S3154003C970000000000000000000000000000000006E -S3154003C980000000000000000000000000000000005E -S3154003C990000000000000000000000000000000004E -S3154003C9A0000000000000000000000000000000003E -S3154003C9B0000000000000000000000000000000002E -S3154003C9C0000000000000000000000000000000001E -S3154003C9D0000000000000000000000000000000000E -S3154003C9E000000000000000000000000000000000FE -S3154003C9F000000000000000000000000000000000EE -S3154003CA0000000000000000000000000000000000DD -S3154003CA1000000000000000000000000000000000CD -S3154003CA2000000000000000000000000000000000BD -S3154003CA3000000000000000000000000000000000AD -S3154003CA40000000000000000000000000000000009D -S3154003CA50000000000000000000000000000000008D -S3154003CA60000000000000000000000000000000007D -S3154003CA70000000000000000000000000000000006D -S3154003CA80000000000000000000000000000000005D -S3154003CA90000000000000000000000000000000004D -S3154003CAA0000000000000000000000000000000003D -S3154003CAB0000000000000000000000000000000002D -S3154003CAC0000000000000000000000000000000001D -S3154003CAD0000000000000000000000000000000000D -S3154003CAE000000000000000000000000000000000FD -S3154003CAF000000000000000000000000000000000ED -S3154003CB0000000000000000000000000000000000DC -S3154003CB1000000000000000000000000000000000CC -S3154003CB2000000000000000000000000000000000BC -S3154003CB3000000000000000000000000000000000AC -S3154003CB40000000000000000000000000000000009C -S3154003CB50000000000000000000000000000000008C -S3154003CB60000000000000000000000000000000007C -S3154003CB70000000000000000000000000000000006C -S3154003CB80000000000000000000000000000000005C -S3154003CB90000000000000000000000000000000004C -S3154003CBA0000000000000000000000000000000003C -S3154003CBB0000000000000000000000000000000002C -S3154003CBC0000000000000000000000000000000001C -S3154003CBD0000000000000000000000000000000000C -S3154003CBE000000000000000000000000000000000FC -S3154003CBF000000000000000000000000000000000EC -S3154003CC0000000000000000000000000000000000DB -S3154003CC1000000000000000000000000000000000CB -S3154003CC2000000000000000000000000000000000BB -S3154003CC3000000000000000000000000000000000AB -S3154003CC40000000000000000000000000000000009B -S3154003CC50000000000000000000000000000000008B -S3154003CC60000000000000000000000000000000007B -S3154003CC70000000000000000000000000000000006B -S3154003CC80000000000000000000000000000000005B -S3154003CC90000000000000000000000000000000004B -S3154003CCA0000000000000000000000000000000003B -S3154003CCB0000000000000000000000000000000002B -S3154003CCC0000000000000000000000000000000001B -S3154003CCD0000000000000000000000000000000000B -S3154003CCE000000000000000000000000000000000FB -S3154003CCF000000000000000000000000000000000EB -S3154003CD0000000000000000000000000000000000DA -S3154003CD1000000000000000000000000000000000CA -S3154003CD2000000000000000000000000000000000BA -S3154003CD3000000000000000000000000000000000AA -S3154003CD40000000000000000000000000000000009A -S3154003CD50000000000000000000000000000000008A -S3154003CD60000000000000000000000000000000007A -S3154003CD70000000000000000000000000000000006A -S3154003CD80000000000000000000000000000000005A -S3154003CD90000000000000000000000000000000004A -S3154003CDA0000000000000000000000000000000003A -S3154003CDB0000000000000000000000000000000002A -S3154003CDC0000000000000000000000000000000001A -S3154003CDD0000000000000000000000000000000000A -S3154003CDE000000000000000000000000000000000FA -S3154003CDF000000000000000000000000000000000EA -S3154003CE0000000000000000000000000000000000D9 -S3154003CE1000000000000000000000000000000000C9 -S3154003CE2000000000000000000000000000000000B9 -S3154003CE3000000000000000000000000000000000A9 -S3154003CE400000000000000000000000000000000099 -S3154003CE500000000000000000000000000000000089 -S3154003CE600000000000000000000000000000000079 -S3154003CE700000000000000000000000000000000069 -S3154003CE800000000000000000000000000000000059 -S3154003CE900000000000000000000000000000000049 -S3154003CEA00000000000000000000000000000000039 -S3154003CEB00000000000000000000000000000000029 -S3154003CEC00000000000000000000000000000000019 -S3154003CED00000000000000000000000000000000009 -S3154003CEE000000000000000000000000000000000F9 -S3154003CEF000000000000000000000000000000000E9 -S3154003CF0000000000000000000000000000000000D8 -S3154003CF1000000000000000000000000000000000C8 -S3154003CF2000000000000000000000000000000000B8 -S3154003CF3000000000000000000000000000000000A8 -S3154003CF400000000000000000000000000000000098 -S3154003CF500000000000000000000000000000000088 -S3154003CF600000000000000000000000000000000078 -S3154003CF700000000000000000000000000000000068 -S3154003CF800000000000000000000000000000000058 -S3154003CF900000000000000000000000000000000048 -S3154003CFA00000000000000000000000000000000038 -S3154003CFB00000000000000000000000000000000028 -S3154003CFC00000000000000000000000000000000018 -S3154003CFD00000000000000000000000000000000008 -S3154003CFE000000000000000000000000000000000F8 -S3154003CFF000000000000000000000000000000000E8 -S3154003D00000000000000000000000000000000000D7 -S3154003D01000000000000000000000000000000000C7 -S3154003D02000000000000000000000000000000000B7 -S3154003D03000000000000000000000000000000000A7 -S3154003D0400000000000000000000000000000000097 -S3154003D0500000000000000000000000000000000087 -S3154003D0600000000000000000000000000000000077 -S3154003D0700000000000000000000000000000000067 -S3154003D0800000000000000000000000000000000057 -S3154003D0900000000000000000000000000000000047 -S3154003D0A00000000000000000000000000000000037 -S3154003D0B00000000000000000000000000000000027 -S3154003D0C00000000000000000000000000000000017 -S3154003D0D00000000000000000000000000000000007 -S3154003D0E000000000000000000000000000000000F7 -S3154003D0F000000000000000000000000000000000E7 -S3154003D10000000000000000000000000000000000D6 -S3154003D11000000000000000000000000000000000C6 -S3154003D12000000000000000000000000000000000B6 -S3154003D13000000000000000000000000000000000A6 -S3154003D1400000000000000000000000000000000096 -S3154003D1500000000000000000000000000000000086 -S3154003D1600000000000000000000000000000000076 -S3154003D1700000000000000000000000000000000066 -S3154003D1800000000000000000000000000000000056 -S3154003D1900000000000000000000000000000000046 -S3154003D1A00000000000000000000000000000000036 -S3154003D1B00000000000000000000000000000000026 -S3154003D1C00000000000000000000000000000000016 -S3154003D1D00000000000000000000000000000000006 -S3154003D1E000000000000000000000000000000000F6 -S3154003D1F000000000000000000000000000000000E6 -S3154003D20000000000000000000000000000000000D5 -S3154003D21000000000000000000000000000000000C5 -S3154003D22000000000000000000000000000000000B5 -S3154003D23000000000000000000000000000000000A5 -S3154003D2400000000000000000000000000000000095 -S3154003D2500000000000000000000000000000000085 -S3154003D2600000000000000000000000000000000075 -S3154003D2700000000000000000000000000000000065 -S3154003D2800000000000000000000000000000000055 -S3154003D2900000000000000000000000000000000045 -S3154003D2A00000000000000000000000000000000035 -S3154003D2B00000000000000000000000000000000025 -S3154003D2C00000000000000000000000000000000015 -S3154003D2D00000000000000000000000000000000005 -S3154003D2E000000000000000000000000000000000F5 -S3154003D2F000000000000000000000000000000000E5 -S3154003D30000000000000000000000000000000000D4 -S3154003D31000000000000000000000000000000000C4 -S3154003D32000000000000000000000000000000000B4 -S3154003D33000000000000000000000000000000000A4 -S3154003D3400000000000000000000000000000000094 -S3154003D3500000000000000000000000000000000084 -S3154003D3600000000000000000000000000000000074 -S3154003D3700000000000000000000000000000000064 -S3154003D3800000000000000000000000000000000054 -S3154003D3900000000000000000000000000000000044 -S3154003D3A00000000000000000000000000000000034 -S3154003D3B00000000000000000000000000000000024 -S3154003D3C00000000000000000000000000000000014 -S3154003D3D00000000000000000000000000000000004 -S3154003D3E000000000000000000000000000000000F4 -S3154003D3F000000000000000000000000000000000E4 -S3154003D40000000000000000000000000000000000D3 -S3154003D41000000000000000000000000000000000C3 -S3154003D42000000000000000000000000000000000B3 -S3154003D43000000000000000000000000000000000A3 -S3154003D4400000000000000000000000000000000093 -S3154003D4500000000000000000000000000000000083 -S3154003D4600000000000000000000000000000000073 -S3154003D4700000000000000000000000000000000063 -S3154003D4800000000000000000000000000000000053 -S3154003D4900000000000000000000000000000000043 -S3154003D4A00000000000000000000000000000000033 -S3154003D4B00000000000000000000000000000000023 -S3154003D4C00000000000000000000000000000000013 -S3154003D4D00000000000000000000000000000000003 -S3154003D4E000000000000000000000000000000000F3 -S3154003D4F000000000000000000000000000000000E3 -S3154003D50000000000000000000000000000000000D2 -S3154003D51000000000000000000000000000000000C2 -S3154003D52000000000000000000000000000000000B2 -S3154003D53000000000000000000000000000000000A2 -S3154003D5400000000000000000000000000000000092 -S3154003D5500000000000000000000000000000000082 -S3154003D5600000000000000000000000000000000072 -S3154003D5700000000000000000000000000000000062 -S3154003D5800000000000000000000000000000000052 -S3154003D5900000000000000000000000000000000042 -S3154003D5A00000000000000000000000000000000032 -S3154003D5B00000000000000000000000000000000022 -S3154003D5C00000000000000000000000000000000012 -S3154003D5D00000000000000000000000000000000002 -S3154003D5E000000000000000000000000000000000F2 -S3154003D5F000000000000000000000000000000000E2 -S3154003D60000000000000000000000000000000000D1 -S3154003D61000000000000000000000000000000000C1 -S3154003D62000000000000000000000000000000000B1 -S3154003D63000000000000000000000000000000000A1 -S3154003D6400000000000000000000000000000000091 -S3154003D6500000000000000000000000000000000081 -S3154003D6600000000000000000000000000000000071 -S3154003D6700000000000000000000000000000000061 -S3154003D6800000000000000000000000000000000051 -S3154003D6900000000000000000000000000000000041 -S3154003D6A00000000000000000000000000000000031 -S3154003D6B00000000000000000000000000000000021 -S3154003D6C00000000000000000000000000000000011 -S3154003D6D00000000000000000000000000000000001 -S3154003D6E000000000000000000000000000000000F1 -S3154003D6F000000000000000000000000000000000E1 -S3154003D70000000000000000000000000000000000D0 -S3154003D71000000000000000000000000000000000C0 -S3154003D72000000000000000000000000000000000B0 -S3154003D73000000000000000000000000000000000A0 -S3154003D7400000000000000000000000000000000090 -S3154003D7500000000000000000000000000000000080 -S3154003D7600000000000000000000000000000000070 -S3154003D7700000000000000000000000000000000060 -S3154003D7800000000000000000000000000000000050 -S3154003D7900000000000000000000000000000000040 -S3154003D7A00000000000000000000000000000000030 -S3154003D7B00000000000000000000000000000000020 -S3154003D7C00000000000000000000000000000000010 -S3154003D7D00000000000000000000000000000000000 -S3154003D7E000000000000000000000000000000000F0 -S3154003D7F000000000000000000000000000000000E0 -S3154003D80000000000000000000000000000000000CF -S3154003D81000000000000000000000000000000000BF -S3154003D82000000000000000000000000000000000AF -S3154003D830000000000000000000000000000000009F -S3154003D840000000000000000000000000000000008F -S3154003D850000000000000000000000000000000007F -S3154003D860000000000000000000000000000000006F -S3154003D870000000000000000000000000000000005F -S3154003D880000000000000000000000000000000004F -S3154003D890000000000000000000000000000000003F -S3154003D8A0000000000000000000000000000000002F -S3154003D8B0000000000000000000000000000000001F -S3154003D8C0000000000000000000000000000000000F -S3154003D8D000000000000000000000000000000000FF -S3154003D8E000000000000000000000000000000000EF -S3154003D8F000000000000000000000000000000000DF -S3154003D90000000000000000000000000000000000CE -S3154003D91000000000000000000000000000000000BE -S3154003D92000000000000000000000000000000000AE -S3154003D930000000000000000000000000000000009E -S3154003D940000000000000000000000000000000008E -S3154003D950000000000000000000000000000000007E -S3154003D960000000000000000000000000000000006E -S3154003D970000000000000000000000000000000005E -S3154003D980000000000000000000000000000000004E -S3154003D990000000000000000000000000000000003E -S3154003D9A0000000000000000000000000000000002E -S3154003D9B0000000000000000000000000000000001E -S3154003D9C0000000000000000000000000000000000E -S3154003D9D000000000000000000000000000000000FE -S3154003D9E000000000000000000000000000000000EE -S3154003D9F000000000000000000000000000000000DE -S3154003DA0000000000000000000000000000000000CD -S3154003DA1000000000000000000000000000000000BD -S3154003DA2000000000000000000000000000000000AD -S3154003DA30000000000000000000000000000000009D -S3154003DA40000000000000000000000000000000008D -S3154003DA50000000000000000000000000000000007D -S3154003DA60000000000000000000000000000000006D -S3154003DA70000000000000000000000000000000005D -S3154003DA80000000000000000000000000000000004D -S3154003DA90000000000000000000000000000000003D -S3154003DAA0000000000000000000000000000000002D -S3154003DAB0000000000000000000000000000000001D -S3154003DAC0000000000000000000000000000000000D -S3154003DAD000000000000000000000000000000000FD -S3154003DAE000000000000000000000000000000000ED -S3154003DAF000000000000000000000000000000000DD -S3154003DB0000000000000000000000000000000000CC -S3154003DB1000000000000000000000000000000000BC -S3154003DB2000000000000000000000000000000000AC -S3154003DB30000000000000000000000000000000009C -S3154003DB40000000000000000000000000000000008C -S3154003DB50000000000000000000000000000000007C -S3154003DB60000000000000000000000000000000006C -S3154003DB70000000000000000000000000000000005C -S3154003DB80000000000000000000000000000000004C -S3154003DB90000000000000000000000000000000003C -S3154003DBA0000000000000000000000000000000002C -S3154003DBB0000000000000000000000000000000001C -S3154003DBC0000000000000000000000000000000000C -S3154003DBD000000000000000000000000000000000FC -S3154003DBE000000000000000000000000000000000EC -S3154003DBF000000000000000000000000000000000DC -S3154003DC0000000000000000000000000000000000CB -S3154003DC1000000000000000000000000000000000BB -S3154003DC2000000000000000000000000000000000AB -S3154003DC30000000000000000000000000000000009B -S3154003DC40000000000000000000000000000000008B -S3154003DC50000000000000000000000000000000007B -S3154003DC60000000000000000000000000000000006B -S3154003DC70000000000000000000000000000000005B -S3154003DC80000000000000000000000000000000004B -S3154003DC90000000000000000000000000000000003B -S3154003DCA0000000000000000000000000000000002B -S3154003DCB0000000000000000000000000000000001B -S3154003DCC0000000000000000000000000000000000B -S3154003DCD000000000000000000000000000000000FB -S3154003DCE000000000000000000000000000000000EB -S3154003DCF000000000000000000000000000000000DB -S3154003DD0000000000000000000000000000000000CA -S3154003DD1000000000000000000000000000000000BA -S3154003DD2000000000000000000000000000000000AA -S3154003DD30000000000000000000000000000000009A -S3154003DD40000000000000000000000000000000008A -S3154003DD50000000000000000000000000000000007A -S3154003DD60000000000000000000000000000000006A -S3154003DD70000000000000000000000000000000005A -S3154003DD80000000000000000000000000000000004A -S3154003DD90000000000000000000000000000000003A -S3154003DDA0000000000000000000000000000000002A -S3154003DDB0000000000000000000000000000000001A -S3154003DDC0000000000000000000000000000000000A -S3154003DDD000000000000000000000000000000000FA -S3154003DDE000000000000000000000000000000000EA -S3154003DDF000000000000000000000000000000000DA -S3154003DE0000000000000000000000000000000000C9 -S3154003DE1000000000000000000000000000000000B9 -S3154003DE2000000000000000000000000000000000A9 -S3154003DE300000000000000000000000000000000099 -S3154003DE400000000000000000000000000000000089 -S3154003DE500000000000000000000000000000000079 -S3154003DE600000000000000000000000000000000069 -S3154003DE700000000000000000000000000000000059 -S3154003DE800000000000000000000000000000000049 -S3154003DE900000000000000000000000000000000039 -S3154003DEA00000000000000000000000000000000029 -S3154003DEB00000000000000000000000000000000019 -S3154003DEC00000000000000000000000000000000009 -S3154003DED000000000000000000000000000000000F9 -S3154003DEE000000000000000000000000000000000E9 -S3154003DEF000000000000000000000000000000000D9 -S3154003DF0000000000000000000000000000000000C8 -S3154003DF1000000000000000000000000000000000B8 -S3154003DF2000000000000000000000000000000000A8 -S3154003DF300000000000000000000000000000000098 -S3154003DF400000000000000000000000000000000088 -S3154003DF500000000000000000000000000000000078 -S3154003DF600000000000000000000000000000000068 -S3154003DF700000000000000000000000000000000058 -S3154003DF800000000000000000000000000000000048 -S3154003DF900000000000000000000000000000000038 -S3154003DFA00000000000000000000000000000000028 -S3154003DFB00000000000000000000000000000000018 -S3154003DFC00000000000000000000000000000000008 -S3154003DFD000000000000000000000000000000000F8 -S3154003DFE000000000000000000000000000000000E8 -S3154003DFF000000000000000000000000000000000D8 -S3154003E00000000000000000000000000000000000C7 -S3154003E01000000000000000000000000000000000B7 -S3154003E02000000000000000000000000000000000A7 -S3154003E0300000000000000000000000000000000097 -S3154003E0400000000000000000000000000000000087 -S3154003E0500000000000000000000000000000000077 -S3154003E0600000000000000000000000000000000067 -S3154003E0700000000000000000000000000000000057 -S3154003E0800000000000000000000000000000000047 -S3154003E0900000000000000000000000000000000037 -S3154003E0A00000000000000000000000000000000027 -S3154003E0B00000000000000000000000000000000017 -S3154003E0C00000000000000000000000000000000007 -S3154003E0D000000000000000000000000000000000F7 -S3154003E0E000000000000000000000000000000000E7 -S3154003E0F000000000000000000000000000000000D7 -S3154003E10000000000000000000000000000000000C6 -S3154003E11000000000000000000000000000000000B6 -S3154003E12000000000000000000000000000000000A6 -S3154003E1300000000000000000000000000000000096 -S3154003E1400000000000000000000000000000000086 -S3154003E1500000000000000000000000000000000076 -S3154003E1600000000000000000000000000000000066 -S3154003E1700000000000000000000000000000000056 -S3154003E1800000000000000000000000000000000046 -S3154003E1900000000000000000000000000000000036 -S3154003E1A00000000000000000000000000000000026 -S3154003E1B00000000000000000000000000000000016 -S3154003E1C00000000000000000000000000000000006 -S3154003E1D000000000000000000000000000000000F6 -S3154003E1E000000000000000000000000000000000E6 -S3154003E1F000000000000000000000000000000000D6 -S3154003E20000000000000000000000000000000000C5 -S3154003E21000000000000000000000000000000000B5 -S3154003E22000000000000000000000000000000000A5 -S3154003E2300000000000000000000000000000000095 -S3154003E2400000000000000000000000000000000085 -S3154003E2500000000000000000000000000000000075 -S3154003E2600000000000000000000000000000000065 -S3154003E2700000000000000000000000000000000055 -S3154003E2800000000000000000000000000000000045 -S3154003E2900000000000000000000000000000000035 -S3154003E2A00000000000000000000000000000000025 -S3154003E2B00000000000000000000000000000000015 -S3154003E2C00000000000000000000000000000000005 -S3154003E2D000000000000000000000000000000000F5 -S3154003E2E000000000000000000000000000000000E5 -S3154003E2F000000000000000000000000000000000D5 -S3154003E30000000000000000000000000000000000C4 -S3154003E31000000000000000000000000000000000B4 -S3154003E32000000000000000000000000000000000A4 -S3154003E3300000000000000000000000000000000094 -S3154003E3400000000000000000000000000000000084 -S3154003E3500000000000000000000000000000000074 -S3154003E3600000000000000000000000000000000064 -S3154003E3700000000000000000000000000000000054 -S3154003E3800000000000000000000000000000000044 -S3154003E3900000000000000000000000000000000034 -S3154003E3A00000000000000000000000000000000024 -S3154003E3B00000000000000000000000000000000014 -S3154003E3C00000000000000000000000000000000004 -S3154003E3D000000000000000000000000000000000F4 -S3154003E3E000000000000000000000000000000000E4 -S3154003E3F000000000000000000000000000000000D4 -S3154003E40000000000000000000000000000000000C3 -S3154003E41000000000000000000000000000000000B3 -S3154003E42000000000000000000000000000000000A3 -S3154003E4300000000000000000000000000000000093 -S3154003E4400000000000000000000000000000000083 -S3154003E4500000000000000000000000000000000073 -S3154003E4600000000000000000000000000000000063 -S3154003E4700000000000000000000000000000000053 -S3154003E4800000000000000000000000000000000043 -S3154003E4900000000000000000000000000000000033 -S3154003E4A00000000000000000000000000000000023 -S3154003E4B00000000000000000000000000000000013 -S3154003E4C00000000000000000000000000000000003 -S3154003E4D000000000000000000000000000000000F3 -S3154003E4E000000000000000000000000000000000E3 -S3154003E4F000000000000000000000000000000000D3 -S3154003E50000000000000000000000000000000000C2 -S3154003E51000000000000000000000000000000000B2 -S3154003E52000000000000000000000000000000000A2 -S3154003E5300000000000000000000000000000000092 -S3154003E5400000000000000000000000000000000082 -S3154003E5500000000000000000000000000000000072 -S3154003E5600000000000000000000000000000000062 -S3154003E5700000000000000000000000000000000052 -S3154003E5800000000000000000000000000000000042 -S3154003E5900000000000000000000000000000000032 -S3154003E5A00000000000000000000000000000000022 -S3154003E5B00000000000000000000000000000000012 -S3154003E5C00000000000000000000000000000000002 -S3154003E5D000000000000000000000000000000000F2 -S3154003E5E000000000000000000000000000000000E2 -S3154003E5F000000000000000000000000000000000D2 -S3154003E60000000000000000000000000000000000C1 -S3154003E61000000000000000000000000000000000B1 -S3154003E62000000000000000000000000000000000A1 -S3154003E6300000000000000000000000000000000091 -S3154003E6400000000000000000000000000000000081 -S3154003E6500000000000000000000000000000000071 -S3154003E6600000000000000000000000000000000061 -S3154003E6700000000000000000000000000000000051 -S3154003E6800000000000000000000000000000000041 -S3154003E6900000000000000000000000000000000031 -S3154003E6A00000000000000000000000000000000021 -S3154003E6B00000000000000000000000000000000011 -S3154003E6C00000000000000000000000000000000001 -S3154003E6D000000000000000000000000000000000F1 -S3154003E6E000000000000000000000000000000000E1 -S3154003E6F000000000000000000000000000000000D1 -S3154003E70000000000000000000000000000000000C0 -S3154003E71000000000000000000000000000000000B0 -S3154003E72000000000000000000000000000000000A0 -S3154003E7300000000000000000000000000000000090 -S3154003E7400000000000000000000000000000000080 -S3154003E7500000000000000000000000000000000070 -S3154003E7600000000000000000000000000000000060 -S3154003E7700000000000000000000000000000000050 -S3154003E7800000000000000000000000000000000040 -S3154003E7900000000000000000000000000000000030 -S3154003E7A00000000000000000000000000000000020 -S3154003E7B00000000000000000000000000000000010 -S3154003E7C00000000000000000000000000000000000 -S3154003E7D000000000000000000000000000000000F0 -S3154003E7E000000000000000000000000000000000E0 -S3154003E7F000000000000000000000000000000000D0 -S3154003E80000000000000000000000000000000000BF -S3154003E81000000000000000000000000000000000AF -S3154003E820000000000000000000000000000000009F -S3154003E830000000000000000000000000000000008F -S3154003E840000000000000000000000000000000007F -S3154003E850000000000000000000000000000000006F -S3154003E860000000000000000000000000000000005F -S3154003E870000000000000000000000000000000004F -S3154003E880000000000000000000000000000000003F -S3154003E890000000000000000000000000000000002F -S3154003E8A0000000000000000000000000000000001F -S3154003E8B0000000000000000000000000000000000F -S3154003E8C000000000000000000000000000000000FF -S3154003E8D000000000000000000000000000000000EF -S3154003E8E000000000000000000000000000000000DF -S3154003E8F000000000000000000000000000000000CF -S3154003E90000000000000000000000000000000000BE -S3154003E91000000000000000000000000000000000AE -S3154003E920000000000000000000000000000000009E -S3154003E930000000000000000000000000000000008E -S3154003E940000000000000000000000000000000007E -S3154003E950000000000000000000000000000000006E -S3154003E960000000000000000000000000000000005E -S3154003E970000000000000000000000000000000004E -S3154003E980000000000000000000000000000000003E -S3154003E990000000000000000000000000000000002E -S3154003E9A0000000000000000000000000000000001E -S3154003E9B0000000000000000000000000000000000E -S3154003E9C000000000000000000000000000000000FE -S3154003E9D000000000000000000000000000000000EE -S3154003E9E000000000000000000000000000000000DE -S3154003E9F000000000000000000000000000000000CE -S3154003EA0000000000000000000000000000000000BD -S3154003EA1000000000000000000000000000000000AD -S3154003EA20000000000000000000000000000000009D -S3154003EA30000000000000000000000000000000008D -S3154003EA40000000000000000000000000000000007D -S3154003EA50000000000000000000000000000000006D -S3154003EA60000000000000000000000000000000005D -S3154003EA70000000000000000000000000000000004D -S3154003EA80000000000000000000000000000000003D -S3154003EA90000000000000000000000000000000002D -S3154003EAA0000000000000000000000000000000001D -S3154003EAB0000000000000000000000000000000000D -S3154003EAC000000000000000000000000000000000FD -S3154003EAD000000000000000000000000000000000ED -S3154003EAE000000000000000000000000000000000DD -S3154003EAF000000000000000000000000000000000CD -S3154003EB0000000000000000000000000000000000BC -S3154003EB1000000000000000000000000000000000AC -S3154003EB20000000000000000000000000000000009C -S3154003EB30000000000000000000000000000000008C -S3154003EB40000000000000000000000000000000007C -S3154003EB50000000000000000000000000000000006C -S3154003EB60000000000000000000000000000000005C -S3154003EB70000000000000000000000000000000004C -S3154003EB80000000000000000000000000000000003C -S3154003EB90000000000000000000000000000000002C -S3154003EBA0000000000000000000000000000000001C -S3154003EBB0000000000000000000000000000000000C -S3154003EBC000000000000000000000000000000000FC -S3154003EBD000000000000000000000000000000000EC -S3154003EBE000000000000000000000000000000000DC -S3154003EBF000000000000000000000000000000000CC -S3154003EC0000000000000000000000000000000000BB -S3154003EC1000000000000000000000000000000000AB -S3154003EC20000000000000000000000000000000009B -S3154003EC30000000000000000000000000000000008B -S3154003EC40000000000000000000000000000000007B -S3154003EC50000000000000000000000000000000006B -S3154003EC60000000000000000000000000000000005B -S3154003EC70000000000000000000000000000000004B -S3154003EC80000000000000000000000000000000003B -S3154003EC90000000000000000000000000000000002B -S3154003ECA0000000000000000000000000000000001B -S3154003ECB0000000000000000000000000000000000B -S3154003ECC000000000000000000000000000000000FB -S3154003ECD000000000000000000000000000000000EB -S3154003ECE000000000000000000000000000000000DB -S3154003ECF000000000000000000000000000000000CB -S3154003ED0000000000000000000000000000000000BA -S3154003ED1000000000000000000000000000000000AA -S3154003ED20000000000000000000000000000000009A -S3154003ED30000000000000000000000000000000008A -S3154003ED40000000000000000000000000000000007A -S3154003ED50000000000000000000000000000000006A -S3154003ED60000000000000000000000000000000005A -S3154003ED70000000000000000000000000000000004A -S3154003ED80000000000000000000000000000000003A -S3154003ED90000000000000000000000000000000002A -S3154003EDA0000000000000000000000000000000001A -S3154003EDB0000000000000000000000000000000000A -S3154003EDC000000000000000000000000000000000FA -S3154003EDD000000000000000000000000000000000EA -S3154003EDE000000000000000000000000000000000DA -S3154003EDF000000000000000000000000000000000CA -S3154003EE0000000000000000000000000000000000B9 -S3154003EE1000000000000000000000000000000000A9 -S3154003EE200000000000000000000000000000000099 -S3154003EE300000000000000000000000000000000089 -S3154003EE400000000000000000000000000000000079 -S3154003EE500000000000000000000000000000000069 -S3154003EE600000000000000000000000000000000059 -S3154003EE700000000000000000000000000000000049 -S3154003EE800000000000000000000000000000000039 -S3154003EE900000000000000000000000000000000029 -S3154003EEA00000000000000000000000000000000019 -S3154003EEB00000000000000000000000000000000009 -S3154003EEC000000000000000000000000000000000F9 -S3154003EED000000000000000000000000000000000E9 -S3154003EEE000000000000000000000000000000000D9 -S3154003EEF000000000000000000000000000000000C9 -S3154003EF0000000000000000000000000000000000B8 -S3154003EF1000000000000000000000000000000000A8 -S3154003EF200000000000000000000000000000000098 -S3154003EF300000000000000000000000000000000088 -S3154003EF400000000000000000000000000000000078 -S3154003EF500000000000000000000000000000000068 -S3154003EF600000000000000000000000000000000058 -S3154003EF700000000000000000000000000000000048 -S3154003EF800000000000000000000000000000000038 -S3154003EF900000000000000000000000000000000028 -S3154003EFA00000000000000000000000000000000018 -S3154003EFB00000000000000000000000000000000008 -S3154003EFC000000000000000000000000000000000F8 -S3154003EFD000000000000000000000000000000000E8 -S3154003EFE000000000000000000000000000000000D8 -S3154003EFF000000000000000000000000000000000C8 -S3154003F00000000000000000000000000000000000B7 -S3154003F01000000000000000000000000000000000A7 -S3154003F0200000000000000000000000000000000097 -S3154003F0300000000000000000000000000000000087 -S3154003F0400000000000000000000000000000000077 -S3154003F0500000000000000000000000000000000067 -S3154003F0600000000000000000000000000000000057 -S3154003F0700000000000000000000000000000000047 -S3154003F0800000000000000000000000000000000037 -S3154003F0900000000000000000000000000000000027 -S3154003F0A00000000000000000000000000000000017 -S3154003F0B00000000000000000000000000000000007 -S3154003F0C000000000000000000000000000000000F7 -S3154003F0D000000000000000000000000000000000E7 -S3154003F0E000000000000000000000000000000000D7 -S3154003F0F000000000000000000000000000000000C7 -S3154003F10000000000000000000000000000000000B6 -S3154003F11000000000000000000000000000000000A6 -S3154003F1200000000000000000000000000000000096 -S3154003F1300000000000000000000000000000000086 -S3154003F1400000000000000000000000000000000076 -S3154003F1500000000000000000000000000000000066 -S3154003F1600000000000000000000000000000000056 -S3154003F1700000000000000000000000000000000046 -S3154003F1800000000000000000000000000000000036 -S3154003F1900000000000000000000000000000000026 -S3154003F1A00000000000000000000000000000000016 -S3154003F1B00000000000000000000000000000000006 -S3154003F1C000000000000000000000000000000000F6 -S3154003F1D000000000000000000000000000000000E6 -S3154003F1E000000000000000000000000000000000D6 -S3154003F1F000000000000000000000000000000000C6 -S3154003F20000000000000000000000000000000000B5 -S3154003F21000000000000000000000000000000000A5 -S3154003F2200000000000000000000000000000000095 -S3154003F2300000000000000000000000000000000085 -S3154003F2400000000000000000000000000000000075 -S3154003F2500000000000000000000000000000000065 -S3154003F2600000000000000000000000000000000055 -S3154003F2700000000000000000000000000000000045 -S3154003F2800000000000000000000000000000000035 -S3154003F2900000000000000000000000000000000025 -S3154003F2A00000000000000000000000000000000015 -S3154003F2B00000000000000000000000000000000005 -S3154003F2C000000000000000000000000000000000F5 -S3154003F2D000000000000000000000000000000000E5 -S3154003F2E000000000000000000000000000000000D5 -S3154003F2F000000000000000000000000000000000C5 -S3154003F30000000000000000000000000000000000B4 -S3154003F31000000000000000000000000000000000A4 -S3154003F3200000000000000000000000000000000094 -S3154003F3300000000000000000000000000000000084 -S3154003F3400000000000000000000000000000000074 -S3154003F3500000000000000000000000000000000064 -S3154003F3600000000000000000000000000000000054 -S3154003F3700000000000000000000000000000000044 -S3154003F3800000000000000000000000000000000034 -S3154003F3900000000000000000000000000000000024 -S3154003F3A00000000000000000000000000000000014 -S3154003F3B00000000000000000000000000000000004 -S3154003F3C000000000000000000000000000000000F4 -S3154003F3D000000000000000000000000000000000E4 -S3154003F3E000000000000000000000000000000000D4 -S3154003F3F000000000000000000000000000000000C4 -S3154003F40000000000000000000000000000000000B3 -S3154003F41000000000000000000000000000000000A3 -S3154003F4200000000000000000000000000000000093 -S3154003F4300000000000000000000000000000000083 -S3154003F4400000000000000000000000000000000073 -S3154003F4500000000000000000000000000000000063 -S3154003F4600000000000000000000000000000000053 -S3154003F4700000000000000000000000000000000043 -S3154003F4800000000000000000000000000000000033 -S3154003F4900000000000000000000000000000000023 -S3154003F4A00000000000000000000000000000000013 -S3154003F4B00000000000000000000000000000000003 -S3154003F4C000000000000000000000000000000000F3 -S3154003F4D000000000000000000000000000000000E3 -S3154003F4E000000000000000000000000000000000D3 -S3154003F4F000000000000000000000000000000000C3 -S3154003F50000000000000000000000000000000000B2 -S3154003F51000000000000000000000000000000000A2 -S3154003F5200000000000000000000000000000000092 -S3154003F5300000000000000000000000000000000082 -S3154003F5400000000000000000000000000000000072 -S3154003F5500000000000000000000000000000000062 -S3154003F5600000000000000000000000000000000052 -S3154003F5700000000000000000000000000000000042 -S3154003F5800000000000000000000000000000000032 -S3154003F5900000000000000000000000000000000022 -S3154003F5A00000000000000000000000000000000012 -S3154003F5B00000000000000000000000000000000002 -S3154003F5C000000000000000000000000000000000F2 -S3154003F5D000000000000000000000000000000000E2 -S3154003F5E000000000000000000000000000000000D2 -S3154003F5F000000000000000000000000000000000C2 -S3154003F60000000000000000000000000000000000B1 -S3154003F61000000000000000000000000000000000A1 -S3154003F6200000000000000000000000000000000091 -S3154003F6300000000000000000000000000000000081 -S3154003F6400000000000000000000000000000000071 -S3154003F6500000000000000000000000000000000061 -S3154003F6600000000000000000000000000000000051 -S3154003F6700000000000000000000000000000000041 -S3154003F6800000000000000000000000000000000031 -S3154003F6900000000000000000000000000000000021 -S3154003F6A00000000000000000000000000000000011 -S3154003F6B00000000000000000000000000000000001 -S3154003F6C000000000000000000000000000000000F1 -S3154003F6D000000000000000000000000000000000E1 -S3154003F6E000000000000000000000000000000000D1 -S3154003F6F000000000000000000000000000000000C1 -S3154003F70000000000000000000000000000000000B0 -S3154003F71000000000000000000000000000000000A0 -S3154003F7200000000000000000000000000000000090 -S3154003F7300000000000000000000000000000000080 -S3154003F7400000000000000000000000000000000070 -S3154003F7500000000000000000000000000000000060 -S3154003F7600000000000000000000000000000000050 -S3154003F7700000000000000000000000000000000040 -S3154003F7800000000000000000000000000000000030 -S3154003F7900000000000000000000000000000000020 -S3154003F7A00000000000000000000000000000000010 -S3154003F7B00000000000000000000000000000000000 -S3154003F7C000000000000000000000000000000000F0 -S3154003F7D000000000000000000000000000000000E0 -S3154003F7E000000000000000000000000000000000D0 -S3154003F7F000000000000000000000000000000000C0 -S3154003F80000000000000000000000000000000000AF -S3154003F810000000000000000000000000000000009F -S3154003F820000000000000000000000000000000008F -S3154003F830000000000000000000000000000000007F -S3154003F840000000000000000000000000000000006F -S3154003F850000000000000000000000000000000005F -S3154003F860000000000000000000000000000000004F -S3154003F870000000000000000000000000000000003F -S3154003F880000000000000000000000000000000002F -S3154003F890000000000000000000000000000000001F -S3154003F8A0000000000000000000000000000000000F -S3154003F8B000000000000000000000000000000000FF -S3154003F8C000000000000000000000000000000000EF -S3154003F8D000000000000000000000000000000000DF -S3154003F8E000000000000000000000000000000000CF -S3154003F8F000000000000000000000000000000000BF -S3154003F90000000000000000000000000000000000AE -S3154003F910000000000000000000000000000000009E -S3154003F920000000000000000000000000000000008E -S3154003F930000000000000000000000000000000007E -S3154003F940000000000000000000000000000000006E -S3154003F950000000000000000000000000000000005E -S3154003F960000000000000000000000000000000004E -S3154003F970000000000000000000000000000000003E -S3154003F980000000000000000000000000000000002E -S3154003F990000000000000000000000000000000001E -S3154003F9A0000000000000000000000000000000000E -S3154003F9B000000000000000000000000000000000FE -S3154003F9C000000000000000000000000000000000EE -S3154003F9D000000000000000000000000000000000DE -S3154003F9E000000000000000000000000000000000CE -S3154003F9F000000000000000000000000000000000BE -S3154003FA0000000000000000000000000000000000AD -S3154003FA10000000000000000000000000000000009D -S3154003FA20000000000000000000000000000000008D -S3154003FA30000000000000000000000000000000007D -S3154003FA40000000000000000000000000000000006D -S3154003FA50000000000000000000000000000000005D -S3154003FA60000000000000000000000000000000004D -S3154003FA70000000000000000000000000000000003D -S3154003FA80000000000000000000000000000000002D -S3154003FA90000000000000000000000000000000001D -S3154003FAA0000000000000000000000000000000000D -S3154003FAB000000000000000000000000000000000FD -S3154003FAC000000000000000000000000000000000ED -S3154003FAD000000000000000000000000000000000DD -S3154003FAE000000000000000000000000000000000CD -S3154003FAF000000000000000000000000000000000BD -S3154003FB0000000000000000000000000000000000AC -S3154003FB10000000000000000000000000000000009C -S3154003FB20000000000000000000000000000000008C -S3154003FB30000000000000000000000000000000007C -S3154003FB40000000000000000000000000000000006C -S3154003FB50000000000000000000000000000000005C -S3154003FB60000000000000000000000000000000004C -S3154003FB70000000000000000000000000000000003C -S3154003FB80000000000000000000000000000000002C -S3154003FB90000000000000000000000000000000001C -S3154003FBA0000000000000000000000000000000000C -S3154003FBB000000000000000000000000000000000FC -S3154003FBC000000000000000000000000000000000EC -S3154003FBD000000000000000000000000000000000DC -S3154003FBE000000000000000000000000000000000CC -S3154003FBF000000000000000000000000000000000BC -S3154003FC0000000000000000000000000000000000AB -S3154003FC10000000000000000000000000000000009B -S3154003FC20000000000000000000000000000000008B -S3154003FC30000000000000000000000000000000007B -S3154003FC40000000000000000000000000000000006B -S3154003FC50000000000000000000000000000000005B -S3154003FC60000000000000000000000000000000004B -S3154003FC70000000000000000000000000000000003B -S3154003FC80000000000000000000000000000000002B -S3154003FC90000000000000000000000000000000001B -S3154003FCA0000000000000000000000000000000000B -S3154003FCB000000000000000000000000000000000FB -S3154003FCC000000000000000000000000000000000EB -S3154003FCD000000000000000000000000000000000DB -S3154003FCE000000000000000000000000000000000CB -S3154003FCF000000000000000000000000000000000BB -S3154003FD0000000000000000000000000000000000AA -S3154003FD10000000000000000000000000000000009A -S3154003FD20000000000000000000000000000000008A -S3154003FD30000000000000000000000000000000007A -S3154003FD40000000000000000000000000000000006A -S3154003FD50000000000000000000000000000000005A -S3154003FD60000000000000000000000000000000004A -S3154003FD70000000000000000000000000000000003A -S3154003FD80000000000000000000000000000000002A -S3154003FD90000000000000000000000000000000001A -S3154003FDA0000000000000000000000000000000000A -S3154003FDB000000000000000000000000000000000FA -S3154003FDC000000000000000000000000000000000EA -S3154003FDD000000000000000000000000000000000DA -S3154003FDE000000000000000000000000000000000CA -S3154003FDF000000000000000000000000000000000BA -S3154003FE0000000000000000000000000000000000A9 -S3154003FE100000000000000000000000000000000099 -S3154003FE200000000000000000000000000000000089 -S3154003FE300000000000000000000000000000000079 -S3154003FE400000000000000000000000000000000069 -S3154003FE500000000000000000000000000000000059 -S3154003FE600000000000000000000000000000000049 -S3154003FE700000000000000000000000000000000039 -S3154003FE800000000000000000000000000000000029 -S3154003FE900000000000000000000000000000000019 -S3154003FEA00000000000000000000000000000000009 -S3154003FEB000000000000000000000000000000000F9 -S3154003FEC000000000000000000000000000000000E9 -S3154003FED000000000000000000000000000000000D9 -S3154003FEE000000000000000000000000000000000C9 -S3154003FEF000000000000000000000000000000000B9 -S3154003FF0000000000000000000000000000000000A8 -S3154003FF100000000000000000000000000000000098 -S3154003FF200000000000000000000000000000000088 -S3154003FF300000000000000000000000000000000078 -S3154003FF400000000000000000000000000000000068 -S3154003FF500000000000000000000000000000000058 -S3154003FF600000000000000000000000000000000048 -S3154003FF700000000000000000000000000000000038 -S3154003FF800000000000000000000000000000000028 -S3154003FF900000000000000000000000000000000018 -S3154003FFA00000000000000000000000000000000008 -S3154003FFB000000000000000000000000000000000F8 -S3154003FFC000000000000000000000000000000000E8 -S3154003FFD000000000000000000000000000000000D8 -S3154003FFE000000000000000000000000000000000C8 -S3154003FFF000000000000000000000000000000000B8 -S3154004000000000000000000000000000000000000A6 -S315400400100000000000000000000000000000000096 -S315400400200000000000000000000000000000000086 -S315400400300000000000000000000000000000000076 -S315400400400000000000000000000000000000000066 -S315400400500000000000000000000000000000000056 -S315400400600000000000000000000000000000000046 -S315400400700000000000000000000000000000000036 -S315400400800000000000000000000000000000000026 -S315400400900000000000000000000000000000000016 -S315400400A00000000000000000000000000000000006 -S315400400B000000000000000000000000000000000F6 -S315400400C000000000000000000000000000000000E6 -S315400400D000000000000000000000000000000000D6 -S315400400E000000000000000000000000000000000C6 -S315400400F000000000000000000000000000000000B6 -S3154004010000000000000000000000000000000000A5 -S315400401100000000000000000000000000000000095 -S315400401200000000000000000000000000000000085 -S315400401300000000000000000000000000000000075 -S315400401400000000000000000000000000000000065 -S315400401500000000000000000000000000000000055 -S315400401600000000000000000000000000000000045 -S315400401700000000000000000000000000000000035 -S315400401800000000000000000000000000000000025 -S315400401900000000000000000000000000000000015 -S315400401A00000000000000000000000000000000005 -S315400401B000000000000000000000000000000000F5 -S315400401C000000000000000000000000000000000E5 -S315400401D000000000000000000000000000000000D5 -S315400401E000000000000000000000000000000000C5 -S315400401F000000000000000000000000000000000B5 -S3154004020000000000000000000000000000000000A4 -S315400402100000000000000000000000000000000094 -S315400402200000000000000000000000000000000084 -S315400402300000000000000000000000000000000074 -S315400402400000000000000000000000000000000064 -S315400402500000000000000000000000000000000054 -S315400402600000000000000000000000000000000044 -S315400402700000000000000000000000000000000034 -S315400402800000000000000000000000000000000024 -S315400402900000000000000000000000000000000014 -S315400402A00000000000000000000000000000000004 -S315400402B000000000000000000000000000000000F4 -S315400402C000000000000000000000000000000000E4 -S315400402D000000000000000000000000000000000D4 -S315400402E000000000000000000000000000000000C4 -S315400402F000000000000000000000000000000000B4 -S3154004030000000000000000000000000000000000A3 -S315400403100000000000000000000000000000000093 -S315400403200000000000000000000000000000000083 -S315400403300000000000000000000000000000000073 -S315400403400000000000000000000000000000000063 -S315400403500000000000000000000000000000000053 -S315400403600000000000000000000000000000000043 -S315400403700000000000000000000000000000000033 -S315400403800000000000000000000000000000000023 -S315400403900000000000000000000000000000000013 -S315400403A00000000000000000000000000000000003 -S315400403B000000000000000000000000000000000F3 -S315400403C000000000000000000000000000000000E3 -S315400403D000000000000000000000000000000000D3 -S315400403E000000000000000000000000000000000C3 -S315400403F000000000000000000000000000000000B3 -S3154004040000000000000000000000000000000000A2 -S315400404100000000000000000000000000000000092 -S315400404200000000000000000000000000000000082 -S315400404300000000000000000000000000000000072 -S315400404400000000000000000000000000000000062 -S315400404500000000000000000000000000000000052 -S315400404600000000000000000000000000000000042 -S315400404700000000000000000000000000000000032 -S315400404800000000000000000000000000000000022 -S315400404900000000000000000000000000000000012 -S315400404A00000000000000000000000000000000002 -S315400404B000000000000000000000000000000000F2 -S315400404C000000000000000000000000000000000E2 -S315400404D000000000000000000000000000000000D2 -S315400404E000000000000000000000000000000000C2 -S315400404F000000000000000000000000000000000B2 -S3154004050000000000000000000000000000000000A1 -S315400405100000000000000000000000000000000091 -S315400405200000000000000000000000000000000081 -S315400405300000000000000000000000000000000071 -S315400405400000000000000000000000000000000061 -S315400405500000000000000000000000000000000051 -S315400405600000000000000000000000000000000041 -S315400405700000000000000000000000000000000031 -S315400405800000000000000000000000000000000021 -S315400405900000000000000000000000000000000011 -S315400405A00000000000000000000000000000000001 -S315400405B000000000000000000000000000000000F1 -S315400405C000000000000000000000000000000000E1 -S315400405D000000000000000000000000000000000D1 -S315400405E000000000000000000000000000000000C1 -S315400405F000000000000000000000000000000000B1 -S3154004060000000000000000000000000000000000A0 -S315400406100000000000000000000000000000000090 -S315400406200000000000000000000000000000000080 -S315400406300000000000000000000000000000000070 -S315400406400000000000000000000000000000000060 -S315400406500000000000000000000000000000000050 -S315400406600000000000000000000000000000000040 -S315400406700000000000000000000000000000000030 -S315400406800000000000000000000000000000000020 -S315400406900000000000000000000000000000000010 -S315400406A00000000000000000000000000000000000 -S315400406B000000000000000000000000000000000F0 -S315400406C000000000000000000000000000000000E0 -S315400406D000000000000000000000000000000000D0 -S315400406E000000000000000000000000000000000C0 -S315400406F000000000000000000000000000000000B0 -S31540040700000000000000000000000000000000009F -S31540040710000000000000000000000000000000008F -S31540040720000000000000000000000000000000007F -S31540040730000000000000000000000000000000006F -S31540040740000000000000000000000000000000005F -S31540040750000000000000000000000000000000004F -S31540040760000000000000000000000000000000003F -S31540040770000000000000000000000000000000002F -S31540040780000000000000000000000000000000001F -S31540040790000000000000000000000000000000000F -S315400407A000000000000000000000000000000000FF -S315400407B000000000000000000000000000000000EF -S315400407C000000000000000000000000000000000DF -S315400407D000000000000000000000000000000000CF -S315400407E000000000000000000000000000000000BF -S315400407F000000000000000000000000000000000AF -S31540040800000000000000000000000000000000009E -S31540040810000000000000000000000000000000008E -S31540040820000000000000000000000000000000007E -S31540040830000000000000000000000000000000006E -S31540040840000000000000000000000000000000005E -S31540040850000000000000000000000000000000004E -S31540040860000000000000000000000000000000003E -S31540040870000000000000000000000000000000002E -S31540040880000000000000000000000000000000001E -S31540040890000000000000000000000000000000000E -S315400408A000000000000000000000000000000000FE -S315400408B000000000000000000000000000000000EE -S315400408C000000000000000000000000000000000DE -S315400408D000000000000000000000000000000000CE -S315400408E000000000000000000000000000000000BE -S315400408F000000000000000000000000000000000AE -S31540040900000000000000000000000000000000009D -S31540040910000000000000000000000000000000008D -S31540040920000000000000000000000000000000007D -S31540040930000000000000000000000000000000006D -S31540040940000000000000000000000000000000005D -S31540040950000000000000000000000000000000004D -S31540040960000000000000000000000000000000003D -S31540040970000000000000000000000000000000002D -S31540040980000000000000000000000000000000001D -S31540040990000000000000000000000000000000000D -S315400409A000000000000000000000000000000000FD -S315400409B000000000000000000000000000000000ED -S315400409C000000000000000000000000000000000DD -S315400409D000000000000000000000000000000000CD -S315400409E000000000000000000000000000000000BD -S315400409F000000000000000000000000000000000AD -S31540040A00000000000000000000000000000000009C -S31540040A10000000000000000000000000000000008C -S31540040A20000000000000000000000000000000007C -S31540040A30000000000000000000000000000000006C -S31540040A40000000000000000000000000000000005C -S31540040A50000000000000000000000000000000004C -S31540040A60000000000000000000000000000000003C -S31540040A70000000000000000000000000000000002C -S31540040A80000000000000000000000000000000001C -S31540040A90000000000000000000000000000000000C -S31540040AA000000000000000000000000000000000FC -S31540040AB000000000000000000000000000000000EC -S31540040AC000000000000000000000000000000000DC -S31540040AD000000000000000000000000000000000CC -S31540040AE000000000000000000000000000000000BC -S31540040AF000000000000000000000000000000000AC -S31540040B00000000000000000000000000000000009B -S31540040B10000000000000000000000000000000008B -S31540040B20000000000000000000000000000000007B -S31540040B30000000000000000000000000000000006B -S31540040B40000000000000000000000000000000005B -S31540040B50000000000000000000000000000000004B -S31540040B60000000000000000000000000000000003B -S31540040B70000000000000000000000000000000002B -S31540040B80000000000000000000000000000000001B -S31540040B90000000000000000000000000000000000B -S31540040BA000000000000000000000000000000000FB -S31540040BB000000000000000000000000000000000EB -S31540040BC000000000000000000000000000000000DB -S31540040BD000000000000000000000000000000000CB -S31540040BE000000000000000000000000000000000BB -S31540040BF000000000000000000000000000000000AB -S31540040C00000000000000000000000000000000009A -S31540040C10000000000000000000000000000000008A -S31540040C20000000000000000000000000000000007A -S31540040C30000000000000000000000000000000006A -S31540040C40000000000000000000000000000000005A -S31540040C50000000000000000000000000000000004A -S31540040C60000000000000000000000000000000003A -S31540040C70000000000000000000000000000000002A -S31540040C80000000000000000000000000000000001A -S31540040C90000000000000000000000000000000000A -S31540040CA000000000000000000000000000000000FA -S31540040CB000000000000000000000000000000000EA -S31540040CC000000000000000000000000000000000DA -S31540040CD000000000000000000000000000000000CA -S31540040CE000000000000000000000000000000000BA -S31540040CF000000000000000000000000000000000AA -S31540040D000000000000000000000000000000000099 -S31540040D100000000000000000000000000000000089 -S31540040D200000000000000000000000000000000079 -S31540040D300000000000000000000000000000000069 -S31540040D400000000000000000000000000000000059 -S31540040D500000000000000000000000000000000049 -S31540040D600000000000000000000000000000000039 -S31540040D700000000000000000000000000000000029 -S31540040D800000000000000000000000000000000019 -S31540040D900000000000000000000000000000000009 -S31540040DA000000000000000000000000000000000F9 -S31540040DB000000000000000000000000000000000E9 -S31540040DC000000000000000000000000000000000D9 -S31540040DD000000000000000000000000000000000C9 -S31540040DE000000000000000000000000000000000B9 -S31540040DF000000000000000000000000000000000A9 -S31540040E000000000000000000000000000000000098 -S31540040E100000000000000000000000000000000088 -S31540040E200000000000000000000000000000000078 -S31540040E300000000000000000000000000000000068 -S31540040E400000000000000000000000000000000058 -S31540040E500000000000000000000000000000000048 -S31540040E600000000000000000000000000000000038 -S31540040E700000000000000000000000000000000028 -S31540040E800000000000000000000000000000000018 -S31540040E900000000000000000000000000000000008 -S31540040EA000000000000000000000000000000000F8 -S31540040EB000000000000000000000000000000000E8 -S31540040EC000000000000000000000000000000000D8 -S31540040ED000000000000000000000000000000000C8 -S31540040EE000000000000000000000000000000000B8 -S31540040EF000000000000000000000000000000000A8 -S31540040F000000000000000000000000000000000097 -S31540040F100000000000000000000000000000000087 -S31540040F200000000000000000000000000000000077 -S31540040F300000000000000000000000000000000067 -S31540040F400000000000000000000000000000000057 -S31540040F500000000000000000000000000000000047 -S31540040F600000000000000000000000000000000037 -S31540040F700000000000000000000000000000000027 -S31540040F800000000000000000000000000000000017 -S31540040F900000000000000000000000000000000007 -S31540040FA000000000000000000000000000000000F7 -S31540040FB000000000000000000000000000000000E7 -S31540040FC000000000000000000000000000000000D7 -S31540040FD000000000000000000000000000000000C7 -S31540040FE000000000000000000000000000000000B7 -S31540040FF000000000000000000000000000000000A7 -S315400410000000000000000000000000000000000096 -S315400410100000000000000000000000000000000086 -S315400410200000000000000000000000000000000076 -S315400410300000000000000000000000000000000066 -S315400410400000000000000000000000000000000056 -S315400410500000000000000000000000000000000046 -S315400410600000000000000000000000000000000036 -S315400410700000000000000000000000000000000026 -S315400410800000000000000000000000000000000016 -S315400410900000000000000000000000000000000006 -S315400410A000000000000000000000000000000000F6 -S315400410B000000000000000000000000000000000E6 -S315400410C000000000000000000000000000000000D6 -S315400410D000000000000000000000000000000000C6 -S315400410E000000000000000000000000000000000B6 -S315400410F000000000000000000000000000000000A6 -S315400411000000000000000000000000000000000095 -S315400411100000000000000000000000000000000085 -S315400411200000000000000000000000000000000075 -S315400411300000000000000000000000000000000065 -S315400411400000000000000000000000000000000055 -S315400411500000000000000000000000000000000045 -S315400411600000000000000000000000000000000035 -S315400411700000000000000000000000000000000025 -S315400411800000000000000000000000000000000015 -S315400411900000000000000000000000000000000005 -S315400411A000000000000000000000000000000000F5 -S315400411B000000000000000000000000000000000E5 -S315400411C000000000000000000000000000000000D5 -S315400411D000000000000000000000000000000000C5 -S315400411E000000000000000000000000000000000B5 -S315400411F000000000000000000000000000000000A5 -S315400412000000000000000000000000000000000094 -S315400412100000000000000000000000000000000084 -S315400412200000000000000000000000000000000074 -S315400412300000000000000000000000000000000064 -S315400412400000000000000000000000000000000054 -S315400412500000000000000000000000000000000044 -S315400412600000000000000000000000000000000034 -S315400412700000000000000000000000000000000024 -S315400412800000000000000000000000000000000014 -S315400412900000000000000000000000000000000004 -S315400412A000000000000000000000000000000000F4 -S315400412B000000000000000000000000000000000E4 -S315400412C000000000000000000000000000000000D4 -S315400412D000000000000000000000000000000000C4 -S315400412E000000000000000000000000000000000B4 -S315400412F000000000000000000000000000000000A4 -S315400413000000000000000000000000000000000093 -S315400413100000000000000000000000000000000083 -S315400413200000000000000000000000000000000073 -S315400413300000000000000000000000000000000063 -S315400413400000000000000000000000000000000053 -S315400413500000000000000000000000000000000043 -S315400413600000000000000000000000000000000033 -S315400413700000000000000000000000000000000023 -S315400413800000000000000000000000000000000013 -S315400413900000000000000000000000000000000003 -S315400413A000000000000000000000000000000000F3 -S315400413B000000000000000000000000000000000E3 -S315400413C000000000000000000000000000000000D3 -S315400413D000000000000000000000000000000000C3 -S315400413E000000000000000000000000000000000B3 -S315400413F000000000000000000000000000000000A3 -S315400414000000000000000000000000000000000092 -S315400414100000000000000000000000000000000082 -S315400414200000000000000000000000000000000072 -S315400414300000000000000000000000000000000062 -S315400414400000000000000000000000000000000052 -S315400414500000000000000000000000000000000042 -S315400414600000000000000000000000000000000032 -S315400414700000000000000000000000000000000022 -S315400414800000000000000000000000000000000012 -S315400414900000000000000000000000000000000002 -S315400414A000000000000000000000000000000000F2 -S315400414B000000000000000000000000000000000E2 -S315400414C000000000000000000000000000000000D2 -S315400414D000000000000000000000000000000000C2 -S315400414E000000000000000000000000000000000B2 -S315400414F000000000000000000000000000000000A2 -S315400415000000000000000000000000000000000091 -S315400415100000000000000000000000000000000081 -S315400415200000000000000000000000000000000071 -S315400415300000000000000000000000000000000061 -S315400415400000000000000000000000000000000051 -S315400415500000000000000000000000000000000041 -S315400415600000000000000000000000000000000031 -S315400415700000000000000000000000000000000021 -S315400415800000000000000000000000000000000011 -S315400415900000000000000000000000000000000001 -S315400415A000000000000000000000000000000000F1 -S315400415B000000000000000000000000000000000E1 -S315400415C000000000000000000000000000000000D1 -S315400415D000000000000000000000000000000000C1 -S315400415E000000000000000000000000000000000B1 -S315400415F000000000000000000000000000000000A1 -S315400416000000000000000000000000000000000090 -S315400416100000000000000000000000000000000080 -S315400416200000000000000000000000000000000070 -S315400416300000000000000000000000000000000060 -S315400416400000000000000000000000000000000050 -S315400416500000000000000000000000000000000040 -S315400416600000000000000000000000000000000030 -S315400416700000000000000000000000000000000020 -S315400416800000000000000000000000000000000010 -S315400416900000000000000000000000000000000000 -S315400416A000000000000000000000000000000000F0 -S315400416B000000000000000000000000000000000E0 -S315400416C000000000000000000000000000000000D0 -S315400416D000000000000000000000000000000000C0 -S315400416E000000000000000000000000000000000B0 -S315400416F000000000000000000000000000000000A0 -S31540041700000000000000000000000000000000008F -S31540041710000000000000000000000000000000007F -S31540041720000000000000000000000000000000006F -S31540041730000000000000000000000000000000005F -S31540041740000000000000000000000000000000004F -S31540041750000000000000000000000000000000003F -S31540041760000000000000000000000000000000002F -S31540041770000000000000000000000000000000001F -S31540041780000000000000000000000000000000000F -S3154004179000000000000000000000000000000000FF -S315400417A000000000000000000000000000000000EF -S315400417B000000000000000000000000000000000DF -S315400417C000000000000000000000000000000000CF -S315400417D000000000000000000000000000000000BF -S315400417E000000000000000000000000000000000AF -S315400417F0000000000000000000000000000000009F -S31540041800000000000000000000000000000000008E -S31540041810000000000000000000000000000000007E -S31540041820000000000000000000000000000000006E -S31540041830000000000000000000000000000000005E -S31540041840000000000000000000000000000000004E -S31540041850000000000000000000000000000000003E -S31540041860000000000000000000000000000000002E -S31540041870000000000000000000000000000000001E -S31540041880000000000000000000000000000000000E -S3154004189000000000000000000000000000000000FE -S315400418A000000000000000000000000000000000EE -S315400418B000000000000000000000000000000000DE -S315400418C000000000000000000000000000000000CE -S315400418D000000000000000000000000000000000BE -S315400418E000000000000000000000000000000000AE -S315400418F0000000000000000000000000000000009E -S31540041900000000000000000000000000000000008D -S31540041910000000000000000000000000000000007D -S31540041920000000000000000000000000000000006D -S31540041930000000000000000000000000000000005D -S31540041940000000000000000000000000000000004D -S31540041950000000000000000000000000000000003D -S31540041960000000000000000000000000000000002D -S31540041970000000000000000000000000000000001D -S31540041980000000000000000000000000000000000D -S3154004199000000000000000000000000000000000FD -S315400419A000000000000000000000000000000000ED -S315400419B000000000000000000000000000000000DD -S315400419C000000000000000000000000000000000CD -S315400419D000000000000000000000000000000000BD -S315400419E000000000000000000000000000000000AD -S315400419F0000000000000000000000000000000009D -S31540041A00000000000000000000000000000000008C -S31540041A10000000000000000000000000000000007C -S31540041A20000000000000000000000000000000006C -S31540041A30000000000000000000000000000000005C -S31540041A40000000000000000000000000000000004C -S31540041A50000000000000000000000000000000003C -S31540041A60000000000000000000000000000000002C -S31540041A70000000000000000000000000000000001C -S31540041A80000000000000000000000000000000000C -S31540041A9000000000000000000000000000000000FC -S31540041AA000000000000000000000000000000000EC -S31540041AB000000000000000000000000000000000DC -S31540041AC000000000000000000000000000000000CC -S31540041AD000000000000000000000000000000000BC -S31540041AE000000000000000000000000000000000AC -S31540041AF0000000000000000000000000000000009C -S31540041B00000000000000000000000000000000008B -S31540041B10000000000000000000000000000000007B -S31540041B20000000000000000000000000000000006B -S31540041B30000000000000000000000000000000005B -S31540041B40000000000000000000000000000000004B -S31540041B50000000000000000000000000000000003B -S31540041B60000000000000000000000000000000002B -S31540041B70000000000000000000000000000000001B -S31540041B80000000000000000000000000000000000B -S31540041B9000000000000000000000000000000000FB -S31540041BA000000000000000000000000000000000EB -S31540041BB000000000000000000000000000000000DB -S31540041BC000000000000000000000000000000000CB -S31540041BD000000000000000000000000000000000BB -S31540041BE000000000000000000000000000000000AB -S31540041BF0000000000000000000000000000000009B -S31540041C00000000000000000000000000000000008A -S31540041C10000000000000000000000000000000007A -S31540041C20000000000000000000000000000000006A -S31540041C30000000000000000000000000000000005A -S31540041C40000000000000000000000000000000004A -S31540041C50000000000000000000000000000000003A -S31540041C60000000000000000000000000000000002A -S31540041C70000000000000000000000000000000001A -S31540041C80000000000000000000000000000000000A -S31540041C9000000000000000000000000000000000FA -S31540041CA000000000000000000000000000000000EA -S31540041CB000000000000000000000000000000000DA -S31540041CC000000000000000000000000000000000CA -S31540041CD000000000000000000000000000000000BA -S31540041CE000000000000000000000000000000000AA -S31540041CF0000000000000000000000000000000009A -S31540041D000000000000000000000000000000000089 -S31540041D100000000000000000000000000000000079 -S31540041D200000000000000000000000000000000069 -S31540041D300000000000000000000000000000000059 -S31540041D400000000000000000000000000000000049 -S31540041D500000000000000000000000000000000039 -S31540041D600000000000000000000000000000000029 -S31540041D700000000000000000000000000000000019 -S31540041D800000000000000000000000000000000009 -S31540041D9000000000000000000000000000000000F9 -S31540041DA000000000000000000000000000000000E9 -S31540041DB000000000000000000000000000000000D9 -S31540041DC000000000000000000000000000000000C9 -S31540041DD000000000000000000000000000000000B9 -S31540041DE000000000000000000000000000000000A9 -S31540041DF00000000000000000000000000000000099 -S31540041E000000000000000000000000000000000088 -S31540041E100000000000000000000000000000000078 -S31540041E200000000000000000000000000000000068 -S31540041E300000000000000000000000000000000058 -S31540041E400000000000000000000000000000000048 -S31540041E500000000000000000000000000000000038 -S31540041E600000000000000000000000000000000028 -S31540041E700000000000000000000000000000000018 -S31540041E800000000000000000000000000000000008 -S31540041E9000000000000000000000000000000000F8 -S31540041EA000000000000000000000000000000000E8 -S31540041EB000000000000000000000000000000000D8 -S31540041EC000000000000000000000000000000000C8 -S31540041ED000000000000000000000000000000000B8 -S31540041EE000000000000000000000000000000000A8 -S31540041EF00000000000000000000000000000000098 -S31540041F000000000000000000000000000000000087 -S31540041F100000000000000000000000000000000077 -S31540041F200000000000000000000000000000000067 -S31540041F300000000000000000000000000000000057 -S31540041F400000000000000000000000000000000047 -S31540041F500000000000000000000000000000000037 -S31540041F600000000000000000000000000000000027 -S31540041F700000000000000000000000000000000017 -S31540041F800000000000000000000000000000000007 -S31540041F9000000000000000000000000000000000F7 -S31540041FA000000000000000000000000000000000E7 -S31540041FB000000000000000000000000000000000D7 -S31540041FC000000000000000000000000000000000C7 -S31540041FD000000000000000000000000000000000B7 -S31540041FE000000000000000000000000000000000A7 -S31540041FF00000000000000000000000000000000097 -S315400420000000000000000000000000000000000086 -S315400420100000000000000000000000000000000076 -S315400420200000000000000000000000000000000066 -S315400420300000000000000000000000000000000056 -S315400420400000000000000000000000000000000046 -S315400420500000000000000000000000000000000036 -S315400420600000000000000000000000000000000026 -S315400420700000000000000000000000000000000016 -S315400420800000000000000000000000000000000006 -S3154004209000000000000000000000000000000000F6 -S315400420A000000000000000000000000000000000E6 -S315400420B000000000000000000000000000000000D6 -S315400420C000000000000000000000000000000000C6 -S315400420D000000000000000000000000000000000B6 -S315400420E000000000000000000000000000000000A6 -S315400420F00000000000000000000000000000000096 -S315400421000000000000000000000000000000000085 -S315400421100000000000000000000000000000000075 -S315400421200000000000000000000000000000000065 -S315400421300000000000000000000000000000000055 -S315400421400000000000000000000000000000000045 -S315400421500000000000000000000000000000000035 -S315400421600000000000000000000000000000000025 -S315400421700000000000000000000000000000000015 -S315400421800000000000000000000000000000000005 -S3154004219000000000000000000000000000000000F5 -S315400421A000000000000000000000000000000000E5 -S315400421B000000000000000000000000000000000D5 -S315400421C000000000000000000000000000000000C5 -S315400421D000000000000000000000000000000000B5 -S315400421E000000000000000000000000000000000A5 -S315400421F00000000000000000000000000000000095 -S315400422000000000000000000000000000000000084 -S315400422100000000000000000000000000000000074 -S315400422200000000000000000000000000000000064 -S315400422300000000000000000000000000000000054 -S315400422400000000000000000000000000000000044 -S315400422500000000000000000000000000000000034 -S315400422600000000000000000000000000000000024 -S315400422700000000000000000000000000000000014 -S315400422800000000000000000000000000000000004 -S3154004229000000000000000000000000000000000F4 -S315400422A000000000000000000000000000000000E4 -S315400422B000000000000000000000000000000000D4 -S315400422C000000000000000000000000000000000C4 -S315400422D000000000000000000000000000000000B4 -S315400422E000000000000000000000000000000000A4 -S315400422F00000000000000000000000000000000094 -S315400423000000000000000000000000000000000083 -S315400423100000000000000000000000000000000073 -S315400423200000000000000000000000000000000063 -S315400423300000000000000000000000000000000053 -S315400423400000000000000000000000000000000043 -S315400423500000000000000000000000000000000033 -S315400423600000000000000000000000000000000023 -S315400423700000000000000000000000000000000013 -S315400423800000000000000000000000000000000003 -S3154004239000000000000000000000000000000000F3 -S315400423A000000000000000000000000000000000E3 -S315400423B000000000000000000000000000000000D3 -S315400423C000000000000000000000000000000000C3 -S315400423D000000000000000000000000000000000B3 -S315400423E000000000000000000000000000000000A3 -S315400423F00000000000000000000000000000000093 -S315400424000000000000000000000000000000000082 -S315400424100000000000000000000000000000000072 -S315400424200000000000000000000000000000000062 -S315400424300000000000000000000000000000000052 -S315400424400000000000000000000000000000000042 -S315400424500000000000000000000000000000000032 -S315400424600000000000000000000000000000000022 -S315400424700000000000000000000000000000000012 -S315400424800000000000000000000000000000000002 -S3154004249000000000000000000000000000000000F2 -S315400424A000000000000000000000000000000000E2 -S315400424B000000000000000000000000000000000D2 -S315400424C000000000000000000000000000000000C2 -S315400424D000000000000000000000000000000000B2 -S315400424E000000000000000000000000000000000A2 -S315400424F00000000000000000000000000000000092 -S315400425000000000000000000000000000000000081 -S315400425100000000000000000000000000000000071 -S315400425200000000000000000000000000000000061 -S315400425300000000000000000000000000000000051 -S315400425400000000000000000000000000000000041 -S315400425500000000000000000000000000000000031 -S315400425600000000000000000000000000000000021 -S315400425700000000000000000000000000000000011 -S315400425800000000000000000000000000000000001 -S3154004259000000000000000000000000000000000F1 -S315400425A000000000000000000000000000000000E1 -S315400425B000000000000000000000000000000000D1 -S315400425C000000000000000000000000000000000C1 -S315400425D000000000000000000000000000000000B1 -S315400425E000000000000000000000000000000000A1 -S315400425F00000000000000000000000000000000091 -S315400426000000000000000000000000000000000080 -S315400426100000000000000000000000000000000070 -S315400426200000000000000000000000000000000060 -S315400426300000000000000000000000000000000050 -S315400426400000000000000000000000000000000040 -S315400426500000000000000000000000000000000030 -S315400426600000000000000000000000000000000020 -S315400426700000000000000000000000000000000010 -S315400426800000000000000000000000000000000000 -S3154004269000000000000000000000000000000000F0 -S315400426A000000000000000000000000000000000E0 -S315400426B000000000000000000000000000000000D0 -S315400426C000000000000000000000000000000000C0 -S315400426D000000000000000000000000000000000B0 -S315400426E000000000000000000000000000000000A0 -S315400426F00000000000000000000000000000000090 -S31540042700000000000000000000000000000000007F -S31540042710000000000000000000000000000000006F -S31540042720000000000000000000000000000000005F -S31540042730000000000000000000000000000000004F -S31540042740000000000000000000000000000000003F -S31540042750000000000000000000000000000000002F -S31540042760000000000000000000000000000000001F -S31540042770000000000000000000000000000000000F -S3154004278000000000000000000000000000000000FF -S3154004279000000000000000000000000000000000EF -S315400427A000000000000000000000000000000000DF -S315400427B000000000000000000000000000000000CF -S315400427C000000000000000000000000000000000BF -S315400427D000000000000000000000000000000000AF -S315400427E0000000000000000000000000000000009F -S315400427F0000000000000000000000000000000008F -S31540042800000000000000000000000000000000007E -S31540042810000000000000000000000000000000006E -S31540042820000000000000000000000000000000005E -S31540042830000000000000000000000000000000004E -S31540042840000000000000000000000000000000003E -S31540042850000000000000000000000000000000002E -S31540042860000000000000000000000000000000001E -S31540042870000000000000000000000000000000000E -S3154004288000000000000000000000000000000000FE -S3154004289000000000000000000000000000000000EE -S315400428A000000000000000000000000000000000DE -S315400428B000000000000000000000000000000000CE -S315400428C000000000000000000000000000000000BE -S315400428D000000000000000000000000000000000AE -S315400428E0000000000000000000000000000000009E -S315400428F0000000000000000000000000000000008E -S31540042900000000000000000000000000000000007D -S31540042910000000000000000000000000000000006D -S31540042920000000000000000000000000000000005D -S31540042930000000000000000000000000000000004D -S31540042940000000000000000000000000000000003D -S31540042950000000000000000000000000000000002D -S31540042960000000000000000000000000000000001D -S31540042970000000000000000000000000000000000D -S3154004298000000000000000000000000000000000FD -S3154004299000000000000000000000000000000000ED -S315400429A000000000000000000000000000000000DD -S315400429B000000000000000000000000000000000CD -S315400429C000000000000000000000000000000000BD -S315400429D000000000000000000000000000000000AD -S315400429E0000000000000000000000000000000009D -S315400429F0000000000000000000000000000000008D -S31540042A00000000000000000000000000000000007C -S31540042A10000000000000000000000000000000006C -S31540042A20000000000000000000000000000000005C -S31540042A30000000000000000000000000000000004C -S31540042A40000000000000000000000000000000003C -S31540042A50000000000000000000000000000000002C -S31540042A60000000000000000000000000000000001C -S31540042A70000000000000000000000000000000000C -S31540042A8000000000000000000000000000000000FC -S31540042A9000000000000000000000000000000000EC -S31540042AA000000000000000000000000000000000DC -S31540042AB000000000000000000000000000000000CC -S31540042AC000000000000000000000000000000000BC -S31540042AD000000000000000000000000000000000AC -S31540042AE0000000000000000000000000000000009C -S31540042AF0000000000000000000000000000000008C -S31540042B00000000000000000000000000000000007B -S31540042B10000000000000000000000000000000006B -S31540042B20000000000000000000000000000000005B -S31540042B30000000000000000000000000000000004B -S31540042B40000000000000000000000000000000003B -S31540042B50000000000000000000000000000000002B -S31540042B60000000000000000000000000000000001B -S31540042B70000000000000000000000000000000000B -S31540042B8000000000000000000000000000000000FB -S31540042B9000000000000000000000000000000000EB -S31540042BA000000000000000000000000000000000DB -S31540042BB000000000000000000000000000000000CB -S31540042BC000000000000000000000000000000000BB -S31540042BD000000000000000000000000000000000AB -S31540042BE0000000000000000000000000000000009B -S31540042BF0000000000000000000000000000000008B -S31540042C00000000000000000000000000000000007A -S31540042C10000000000000000000000000000000006A -S31540042C20000000000000000000000000000000005A -S31540042C30000000000000000000000000000000004A -S31540042C40000000000000000000000000000000003A -S31540042C50000000000000000000000000000000002A -S31540042C60000000000000000000000000000000001A -S31540042C70000000000000000000000000000000000A -S31540042C8000000000000000000000000000000000FA -S31540042C9000000000000000000000000000000000EA -S31540042CA000000000000000000000000000000000DA -S31540042CB000000000000000000000000000000000CA -S31540042CC000000000000000000000000000000000BA -S31540042CD000000000000000000000000000000000AA -S31540042CE0000000000000000000000000000000009A -S31540042CF0000000000000000000000000000000008A -S31540042D000000000000000000000000000000000079 -S31540042D100000000000000000000000000000000069 -S31540042D200000000000000000000000000000000059 -S31540042D300000000000000000000000000000000049 -S31540042D400000000000000000000000000000000039 -S31540042D500000000000000000000000000000000029 -S31540042D600000000000000000000000000000000019 -S31540042D700000000000000000000000000000000009 -S31540042D8000000000000000000000000000000000F9 -S31540042D9000000000000000000000000000000000E9 -S31540042DA000000000000000000000000000000000D9 -S31540042DB000000000000000000000000000000000C9 -S31540042DC000000000000000000000000000000000B9 -S31540042DD000000000000000000000000000000000A9 -S31540042DE00000000000000000000000000000000099 -S31540042DF00000000000000000000000000000000089 -S31540042E000000000000000000000000000000000078 -S31540042E100000000000000000000000000000000068 -S31540042E200000000000000000000000000000000058 -S31540042E300000000000000000000000000000000048 -S31540042E400000000000000000000000000000000038 -S31540042E500000000000000000000000000000000028 -S31540042E600000000000000000000000000000000018 -S31540042E700000000000000000000000000000000008 -S31540042E8000000000000000000000000000000000F8 -S31540042E9000000000000000000000000000000000E8 -S31540042EA000000000000000000000000000000000D8 -S31540042EB000000000000000000000000000000000C8 -S31540042EC000000000000000000000000000000000B8 -S31540042ED000000000000000000000000000000000A8 -S31540042EE00000000000000000000000000000000098 -S31540042EF00000000000000000000000000000000088 -S31540042F000000000000000000000000000000000077 -S31540042F100000000000000000000000000000000067 -S31540042F200000000000000000000000000000000057 -S31540042F300000000000000000000000000000000047 -S31540042F400000000000000000000000000000000037 -S31540042F500000000000000000000000000000000027 -S31540042F600000000000000000000000000000000017 -S31540042F700000000000000000000000000000000007 -S31540042F8000000000000000000000000000000000F7 -S31540042F9000000000000000000000000000000000E7 -S31540042FA000000000000000000000000000000000D7 -S31540042FB000000000000000000000000000000000C7 -S31540042FC000000000000000000000000000000000B7 -S31540042FD000000000000000000000000000000000A7 -S31540042FE00000000000000000000000000000000097 -S31540042FF00000000000000000000000000000000087 -S315400430000000000000000000000000000000000076 -S315400430100000000000000000000000000000000066 -S315400430200000000000000000000000000000000056 -S315400430300000000000000000000000000000000046 -S315400430400000000000000000000000000000000036 -S315400430500000000000000000000000000000000026 -S315400430600000000000000000000000000000000016 -S315400430700000000000000000000000000000000006 -S3154004308000000000000000000000000000000000F6 -S3154004309000000000000000000000000000000000E6 -S315400430A000000000000000000000000000000000D6 -S315400430B000000000000000000000000000000000C6 -S315400430C000000000000000000000000000000000B6 -S315400430D000000000000000000000000000000000A6 -S315400430E00000000000000000000000000000000096 -S315400430F00000000000000000000000000000000086 -S315400431000000000000000000000000000000000075 -S315400431100000000000000000000000000000000065 -S315400431200000000000000000000000000000000055 -S315400431300000000000000000000000000000000045 -S315400431400000000000000000000000000000000035 -S315400431500000000000000000000000000000000025 -S315400431600000000000000000000000000000000015 -S315400431700000000000000000000000000000000005 -S3154004318000000000000000000000000000000000F5 -S3154004319000000000000000000000000000000000E5 -S315400431A000000000000000000000000000000000D5 -S315400431B000000000000000000000000000000000C5 -S315400431C000000000000000000000000000000000B5 -S315400431D000000000000000000000000000000000A5 -S315400431E00000000000000000000000000000000095 -S315400431F00000000000000000000000000000000085 -S315400432000000000000000000000000000000000074 -S315400432100000000000000000000000000000000064 -S315400432200000000000000000000000000000000054 -S315400432300000000000000000000000000000000044 -S315400432400000000000000000000000000000000034 -S315400432500000000000000000000000000000000024 -S315400432600000000000000000000000000000000014 -S315400432700000000000000000000000000000000004 -S3154004328000000000000000000000000000000000F4 -S3154004329000000000000000000000000000000000E4 -S315400432A000000000000000000000000000000000D4 -S315400432B000000000000000000000000000000000C4 -S315400432C000000000000000000000000000000000B4 -S315400432D000000000000000000000000000000000A4 -S315400432E00000000000000000000000000000000094 -S315400432F00000000000000000000000000000000084 -S315400433000000000000000000000000000000000073 -S315400433100000000000000000000000000000000063 -S315400433200000000000000000000000000000000053 -S315400433300000000000000000000000000000000043 -S315400433400000000000000000000000000000000033 -S315400433500000000000000000000000000000000023 -S315400433600000000000000000000000000000000013 -S315400433700000000000000000000000000000000003 -S3154004338000000000000000000000000000000000F3 -S3154004339000000000000000000000000000000000E3 -S315400433A000000000000000000000000000000000D3 -S315400433B000000000000000000000000000000000C3 -S315400433C000000000000000000000000000000000B3 -S315400433D000000000000000000000000000000000A3 -S315400433E00000000000000000000000000000000093 -S315400433F00000000000000000000000000000000083 -S315400434000000000000000000000000000000000072 -S315400434100000000000000000000000000000000062 -S315400434200000000000000000000000000000000052 -S315400434300000000000000000000000000000000042 -S315400434400000000000000000000000000000000032 -S315400434500000000000000000000000000000000022 -S315400434600000000000000000000000000000000012 -S315400434700000000000000000000000000000000002 -S3154004348000000000000000000000000000000000F2 -S3154004349000000000000000000000000000000000E2 -S315400434A000000000000000000000000000000000D2 -S315400434B000000000000000000000000000000000C2 -S315400434C000000000000000000000000000000000B2 -S315400434D000000000000000000000000000000000A2 -S315400434E00000000000000000000000000000000092 -S315400434F00000000000000000000000000000000082 -S315400435000000000000000000000000000000000071 -S315400435100000000000000000000000000000000061 -S315400435200000000000000000000000000000000051 -S315400435300000000000000000000000000000000041 -S315400435400000000000000000000000000000000031 -S315400435500000000000000000000000000000000021 -S315400435600000000000000000000000000000000011 -S315400435700000000000000000000000000000000001 -S3154004358000000000000000000000000000000000F1 -S3154004359000000000000000000000000000000000E1 -S315400435A000000000000000000000000000000000D1 -S315400435B000000000000000000000000000000000C1 -S315400435C000000000000000000000000000000000B1 -S315400435D000000000000000000000000000000000A1 -S315400435E00000000000000000000000000000000091 -S315400435F00000000000000000000000000000000081 -S315400436000000000000000000000000000000000070 -S315400436100000000000000000000000000000000060 -S315400436200000000000000000000000000000000050 -S315400436300000000000000000000000000000000040 -S315400436400000000000000000000000000000000030 -S315400436500000000000000000000000000000000020 -S315400436600000000000000000000000000000000010 -S315400436700000000000000000000000000000000000 -S3154004368000000000000000000000000000000000F0 -S3154004369000000000000000000000000000000000E0 -S315400436A000000000000000000000000000000000D0 -S315400436B000000000000000000000000000000000C0 -S315400436C000000000000000000000000000000000B0 -S315400436D000000000000000000000000000000000A0 -S315400436E00000000000000000000000000000000090 -S315400436F00000000000000000000000000000000080 -S31540043700000000000000000000000000000000006F -S31540043710000000000000000000000000000000005F -S31540043720000000000000000000000000000000004F -S31540043730000000000000000000000000000000003F -S31540043740000000000000000000000000000000002F -S31540043750000000000000000000000000000000001F -S31540043760000000000000000000000000000000000F -S3154004377000000000000000000000000000000000FF -S3154004378000000000000000000000000000000000EF -S3154004379000000000000000000000000000000000DF -S315400437A000000000000000000000000000000000CF -S315400437B000000000000000000000000000000000BF -S315400437C000000000000000000000000000000000AF -S315400437D0000000000000000000000000000000009F -S315400437E0000000000000000000000000000000008F -S315400437F0000000000000000000000000000000007F -S31540043800000000000000000000000000000000006E -S31540043810000000000000000000000000000000005E -S31540043820000000000000000000000000000000004E -S31540043830000000000000000000000000000000003E -S31540043840000000000000000000000000000000002E -S31540043850000000000000000000000000000000001E -S31540043860000000000000000000000000000000000E -S3154004387000000000000000000000000000000000FE -S3154004388000000000000000000000000000000000EE -S3154004389000000000000000000000000000000000DE -S315400438A000000000000000000000000000000000CE -S315400438B000000000000000000000000000000000BE -S315400438C000000000000000000000000000000000AE -S315400438D0000000000000000000000000000000009E -S315400438E0000000000000000000000000000000008E -S315400438F0000000000000000000000000000000007E -S31540043900000000000000000000000000000000006D -S31540043910000000000000000000000000000000005D -S31540043920000000000000000000000000000000004D -S31540043930000000000000000000000000000000003D -S31540043940000000000000000000000000000000002D -S31540043950000000000000000000000000000000001D -S31540043960000000000000000000000000000000000D -S3154004397000000000000000000000000000000000FD -S3154004398000000000000000000000000000000000ED -S3154004399000000000000000000000000000000000DD -S315400439A000000000000000000000000000000000CD -S315400439B000000000000000000000000000000000BD -S315400439C000000000000000000000000000000000AD -S315400439D0000000000000000000000000000000009D -S315400439E0000000000000000000000000000000008D -S315400439F0000000000000000000000000000000007D -S31540043A00000000000000000000000000000000006C -S31540043A10000000000000000000000000000000005C -S31540043A20000000000000000000000000000000004C -S31540043A30000000000000000000000000000000003C -S31540043A40000000000000000000000000000000002C -S31540043A50000000000000000000000000000000001C -S31540043A60000000000000000000000000000000000C -S31540043A7000000000000000000000000000000000FC -S31540043A8000000000000000000000000000000000EC -S31540043A9000000000000000000000000000000000DC -S31540043AA000000000000000000000000000000000CC -S31540043AB000000000000000000000000000000000BC -S31540043AC000000000000000000000000000000000AC -S31540043AD0000000000000000000000000000000009C -S31540043AE0000000000000000000000000000000008C -S31540043AF0000000000000000000000000000000007C -S31540043B00000000000000000000000000000000006B -S31540043B10000000000000000000000000000000005B -S31540043B20000000000000000000000000000000004B -S31540043B30000000000000000000000000000000003B -S31540043B40000000000000000000000000000000002B -S31540043B50000000000000000000000000000000001B -S31540043B60000000000000000000000000000000000B -S31540043B7000000000000000000000000000000000FB -S31540043B8000000000000000000000000000000000EB -S31540043B9000000000000000000000000000000000DB -S31540043BA000000000000000000000000000000000CB -S31540043BB000000000000000000000000000000000BB -S31540043BC000000000000000000000000000000000AB -S31540043BD0000000000000000000000000000000009B -S31540043BE0000000000000000000000000000000008B -S31540043BF0000000000000000000000000000000007B -S31540043C00000000000000000000000000000000006A -S31540043C10000000000000000000000000000000005A -S31540043C20000000000000000000000000000000004A -S31540043C30000000000000000000000000000000003A -S31540043C40000000000000000000000000000000002A -S31540043C50000000000000000000000000000000001A -S31540043C60000000000000000000000000000000000A -S31540043C7000000000000000000000000000000000FA -S31540043C8000000000000000000000000000000000EA -S31540043C9000000000000000000000000000000000DA -S31540043CA000000000000000000000000000000000CA -S31540043CB000000000000000000000000000000000BA -S31540043CC000000000000000000000000000000000AA -S31540043CD0000000000000000000000000000000009A -S31540043CE0000000000000000000000000000000008A -S31540043CF0000000000000000000000000000000007A -S31540043D000000000000000000000000000000000069 -S31540043D100000000000000000000000000000000059 -S31540043D200000000000000000000000000000000049 -S31540043D300000000000000000000000000000000039 -S31540043D400000000000000000000000000000000029 -S31540043D500000000000000000000000000000000019 -S31540043D600000000000000000000000000000000009 -S31540043D7000000000000000000000000000000000F9 -S31540043D8000000000000000000000000000000000E9 -S31540043D9000000000000000000000000000000000D9 -S31540043DA000000000000000000000000000000000C9 -S31540043DB000000000000000000000000000000000B9 -S31540043DC000000000000000000000000000000000A9 -S31540043DD00000000000000000000000000000000099 -S31540043DE00000000000000000000000000000000089 -S31540043DF00000000000000000000000000000000079 -S31540043E000000000000000000000000000000000068 -S31540043E100000000000000000000000000000000058 -S31540043E200000000000000000000000000000000048 -S31540043E300000000000000000000000000000000038 -S31540043E400000000000000000000000000000000028 -S31540043E500000000000000000000000000000000018 -S31540043E600000000000000000000000000000000008 -S31540043E7000000000000000000000000000000000F8 -S31540043E8000000000000000000000000000000000E8 -S31540043E9000000000000000000000000000000000D8 -S31540043EA000000000000000000000000000000000C8 -S31540043EB000000000000000000000000000000000B8 -S31540043EC000000000000000000000000000000000A8 -S31540043ED00000000000000000000000000000000098 -S31540043EE00000000000000000000000000000000088 -S31540043EF00000000000000000000000000000000078 -S31540043F000000000000000000000000000000000067 -S31540043F100000000000000000000000000000000057 -S31540043F200000000000000000000000000000000047 -S31540043F300000000000000000000000000000000037 -S31540043F400000000000000000000000000000000027 -S31540043F500000000000000000000000000000000017 -S31540043F600000000000000000000000000000000007 -S31540043F7000000000000000000000000000000000F7 -S31540043F8000000000000000000000000000000000E7 -S31540043F9000000000000000000000000000000000D7 -S31540043FA000000000000000000000000000000000C7 -S31540043FB000000000000000000000000000000000B7 -S31540043FC000000000000000000000000000000000A7 -S31540043FD00000000000000000000000000000000097 -S31540043FE00000000000000000000000000000000087 -S31540043FF00000000000000000000000000000000077 -S315400440000000000000000000000000000000000066 -S315400440100000000000000000000000000000000056 -S315400440200000000000000000000000000000000046 -S315400440300000000000000000000000000000000036 -S315400440400000000000000000000000000000000026 -S315400440500000000000000000000000000000000016 -S315400440600000000000000000000000000000000006 -S3154004407000000000000000000000000000000000F6 -S3154004408000000000000000000000000000000000E6 -S3154004409000000000000000000000000000000000D6 -S315400440A000000000000000000000000000000000C6 -S315400440B000000000000000000000000000000000B6 -S315400440C000000000000000000000000000000000A6 -S315400440D00000000000000000000000000000000096 -S315400440E00000000000000000000000000000000086 -S315400440F00000000000000000000000000000000076 -S315400441000000000000000000000000000000000065 -S315400441100000000000000000000000000000000055 -S315400441200000000000000000000000000000000045 -S315400441300000000000000000000000000000000035 -S315400441400000000000000000000000000000000025 -S315400441500000000000000000000000000000000015 -S315400441600000000000000000000000000000000005 -S3154004417000000000000000000000000000000000F5 -S3154004418000000000000000000000000000000000E5 -S3154004419000000000000000000000000000000000D5 -S315400441A000000000000000000000000000000000C5 -S315400441B000000000000000000000000000000000B5 -S315400441C000000000000000000000000000000000A5 -S315400441D00000000000000000000000000000000095 -S315400441E00000000000000000000000000000000085 -S315400441F00000000000000000000000000000000075 -S315400442000000000000000000000000000000000064 -S315400442100000000000000000000000000000000054 -S315400442200000000000000000000000000000000044 -S315400442300000000000000000000000000000000034 -S315400442400000000000000000000000000000000024 -S315400442500000000000000000000000000000000014 -S315400442600000000000000000000000000000000004 -S3154004427000000000000000000000000000000000F4 -S3154004428000000000000000000000000000000000E4 -S3154004429000000000000000000000000000000000D4 -S315400442A000000000000000000000000000000000C4 -S315400442B000000000000000000000000000000000B4 -S315400442C000000000000000000000000000000000A4 -S315400442D00000000000000000000000000000000094 -S315400442E00000000000000000000000000000000084 -S315400442F00000000000000000000000000000000074 -S315400443000000000000000000000000000000000063 -S315400443100000000000000000000000000000000053 -S315400443200000000000000000000000000000000043 -S315400443300000000000000000000000000000000033 -S315400443400000000000000000000000000000000023 -S315400443500000000000000000000000000000000013 -S315400443600000000000000000000000000000000003 -S3154004437000000000000000000000000000000000F3 -S3154004438000000000000000000000000000000000E3 -S3154004439000000000000000000000000000000000D3 -S315400443A000000000000000000000000000000000C3 -S315400443B000000000000000000000000000000000B3 -S315400443C000000000000000000000000000000000A3 -S315400443D00000000000000000000000000000000093 -S315400443E00000000000000000000000000000000083 -S315400443F00000000000000000000000000000000073 -S315400444000000000000000000000000000000000062 -S315400444100000000000000000000000000000000052 -S315400444200000000000000000000000000000000042 -S315400444300000000000000000000000000000000032 -S315400444400000000000000000000000000000000022 -S315400444500000000000000000000000000000000012 -S315400444600000000000000000000000000000000002 -S3154004447000000000000000000000000000000000F2 -S3154004448000000000000000000000000000000000E2 -S3154004449000000000000000000000000000000000D2 -S315400444A000000000000000000000000000000000C2 -S315400444B000000000000000000000000000000000B2 -S315400444C000000000000000000000000000000000A2 -S315400444D00000000000000000000000000000000092 -S315400444E00000000000000000000000000000000082 -S315400444F00000000000000000000000000000000072 -S315400445000000000000000000000000000000000061 -S315400445100000000000000000000000000000000051 -S315400445200000000000000000000000000000000041 -S315400445300000000000000000000000000000000031 -S315400445400000000000000000000000000000000021 -S315400445500000000000000000000000000000000011 -S315400445600000000000000000000000000000000001 -S3154004457000000000000000000000000000000000F1 -S3154004458000000000000000000000000000000000E1 -S3154004459000000000000000000000000000000000D1 -S315400445A000000000000000000000000000000000C1 -S315400445B000000000000000000000000000000000B1 -S315400445C000000000000000000000000000000000A1 -S315400445D00000000000000000000000000000000091 -S315400445E00000000000000000000000000000000081 -S315400445F00000000000000000000000000000000071 -S315400446000000000000000000000000000000000060 -S315400446100000000000000000000000000000000050 -S315400446200000000000000000000000000000000040 -S315400446300000000000000000000000000000000030 -S315400446400000000000000000000000000000000020 -S315400446500000000000000000000000000000000010 -S315400446600000000000000000000000000000000000 -S3154004467000000000000000000000000000000000F0 -S3154004468000000000000000000000000000000000E0 -S3154004469000000000000000000000000000000000D0 -S315400446A000000000000000000000000000000000C0 -S315400446B000000000000000000000000000000000B0 -S315400446C000000000000000000000000000000000A0 -S315400446D00000000000000000000000000000000090 -S315400446E00000000000000000000000000000000080 -S315400446F00000000000000000000000000000000070 -S31540044700000000000000000000000000000000005F -S31540044710000000000000000000000000000000004F -S31540044720000000000000000000000000000000003F -S31540044730000000000000000000000000000000002F -S31540044740000000000000000000000000000000001F -S31540044750000000000000000000000000000000000F -S3154004476000000000000000000000000000000000FF -S3154004477000000000000000000000000000000000EF -S3154004478000000000000000000000000000000000DF -S3154004479000000000000000000000000000000000CF -S315400447A000000000000000000000000000000000BF -S315400447B000000000000000000000000000000000AF -S315400447C0000000000000000000000000000000009F -S315400447D0000000000000000000000000000000008F -S315400447E0000000000000000000000000000000007F -S315400447F0000000000000000000000000000000006F -S31540044800000000000000000000000000000000005E -S31540044810000000000000000000000000000000004E -S31540044820000000000000000000000000000000003E -S31540044830000000000000000000000000000000002E -S31540044840000000000000000000000000000000001E -S31540044850000000000000000000000000000000000E -S3154004486000000000000000000000000000000000FE -S3154004487000000000000000000000000000000000EE -S3154004488000000000000000000000000000000000DE -S3154004489000000000000000000000000000000000CE -S315400448A000000000000000000000000000000000BE -S315400448B000000000000000000000000000000000AE -S315400448C0000000000000000000000000000000009E -S315400448D0000000000000000000000000000000008E -S315400448E0000000000000000000000000000000007E -S315400448F0000000000000000000000000000000006E -S31540044900000000000000000000000000000000005D -S31540044910000000000000000000000000000000004D -S31540044920000000000000000000000000000000003D -S31540044930000000000000000000000000000000002D -S31540044940000000000000000000000000000000001D -S31540044950000000000000000000000000000000000D -S3154004496000000000000000000000000000000000FD -S3154004497000000000000000000000000000000000ED -S3154004498000000000000000000000000000000000DD -S3154004499000000000000000000000000000000000CD -S315400449A000000000000000000000000000000000BD -S315400449B000000000000000000000000000000000AD -S315400449C0000000000000000000000000000000009D -S315400449D0000000000000000000000000000000008D -S315400449E0000000000000000000000000000000007D -S315400449F0000000000000000000000000000000006D -S31540044A00000000000000000000000000000000005C -S31540044A10000000000000000000000000000000004C -S31540044A20000000000000000000000000000000003C -S31540044A30000000000000000000000000000000002C -S31540044A40000000000000000000000000000000001C -S31540044A50000000000000000000000000000000000C -S31540044A6000000000000000000000000000000000FC -S31540044A7000000000000000000000000000000000EC -S31540044A8000000000000000000000000000000000DC -S31540044A9000000000000000000000000000000000CC -S31540044AA000000000000000000000000000000000BC -S31540044AB000000000000000000000000000000000AC -S31540044AC0000000000000000000000000000000009C -S31540044AD0000000000000000000000000000000008C -S31540044AE0000000000000000000000000000000007C -S31540044AF0000000000000000000000000000000006C -S31540044B00000000000000000000000000000000005B -S31540044B10000000000000000000000000000000004B -S31540044B20000000000000000000000000000000003B -S31540044B30000000000000000000000000000000002B -S31540044B40000000000000000000000000000000001B -S31540044B50000000000000000000000000000000000B -S31540044B6000000000000000000000000000000000FB -S31540044B7000000000000000000000000000000000EB -S31540044B8000000000000000000000000000000000DB -S31540044B9000000000000000000000000000000000CB -S31540044BA000000000000000000000000000000000BB -S31540044BB000000000000000000000000000000000AB -S31540044BC0000000000000000000000000000000009B -S31540044BD0000000000000000000000000000000008B -S31540044BE0000000000000000000000000000000007B -S31540044BF0000000000000000000000000000000006B -S31540044C00000000000000000000000000000000005A -S31540044C10000000000000000000000000000000004A -S31540044C20000000000000000000000000000000003A -S31540044C30000000000000000000000000000000002A -S31540044C40000000000000000000000000000000001A -S31540044C50000000000000000000000000000000000A -S31540044C6000000000000000000000000000000000FA -S31540044C7000000000000000000000000000000000EA -S31540044C8000000000000000000000000000000000DA -S31540044C9000000000000000000000000000000000CA -S31540044CA000000000000000000000000000000000BA -S31540044CB000000000000000000000000000000000AA -S31540044CC0000000000000000000000000000000009A -S31540044CD0000000000000000000000000000000008A -S31540044CE0000000000000000000000000000000007A -S31540044CF0000000000000000000000000000000006A -S31540044D000000000000000000000000000000000059 -S31540044D100000000000000000000000000000000049 -S31540044D200000000000000000000000000000000039 -S31540044D300000000000000000000000000000000029 -S31540044D400000000000000000000000000000000019 -S31540044D500000000000000000000000000000000009 -S31540044D6000000000000000000000000000000000F9 -S31540044D7000000000000000000000000000000000E9 -S31540044D8000000000000000000000000000000000D9 -S31540044D9000000000000000000000000000000000C9 -S31540044DA000000000000000000000000000000000B9 -S31540044DB000000000000000000000000000000000A9 -S31540044DC00000000000000000000000000000000099 -S31540044DD00000000000000000000000000000000089 -S31540044DE00000000000000000000000000000000079 -S31540044DF00000000000000000000000000000000069 -S31540044E000000000000000000000000000000000058 -S31540044E100000000000000000000000000000000048 -S31540044E200000000000000000000000000000000038 -S31540044E300000000000000000000000000000000028 -S31540044E400000000000000000000000000000000018 -S31540044E500000000000000000000000000000000008 -S31540044E6000000000000000000000000000000000F8 -S31540044E7000000000000000000000000000000000E8 -S31540044E8000000000000000000000000000000000D8 -S31540044E9000000000000000000000000000000000C8 -S31540044EA000000000000000000000000000000000B8 -S31540044EB000000000000000000000000000000000A8 -S31540044EC00000000000000000000000000000000098 -S31540044ED00000000000000000000000000000000088 -S31540044EE00000000000000000000000000000000078 -S31540044EF00000000000000000000000000000000068 -S31540044F000000000000000000000000000000000057 -S31540044F100000000000000000000000000000000047 -S31540044F200000000000000000000000000000000037 -S31540044F300000000000000000000000000000000027 -S31540044F400000000000000000000000000000000017 -S31540044F500000000000000000000000000000000007 -S31540044F6000000000000000000000000000000000F7 -S31540044F7000000000000000000000000000000000E7 -S31540044F8000000000000000000000000000000000D7 -S31540044F9000000000000000000000000000000000C7 -S31540044FA000000000000000000000000000000000B7 -S31540044FB000000000000000000000000000000000A7 -S31540044FC00000000000000000000000000000000097 -S31540044FD00000000000000000000000000000000087 -S31540044FE00000000000000000000000000000000077 -S31540044FF00000000000000000000000000000000067 -S315400450000000000000000000000000000000000056 -S315400450100000000000000000000000000000000046 -S315400450200000000000000000000000000000000036 -S315400450300000000000000000000000000000000026 -S315400450400000000000000000000000000000000016 -S315400450500000000000000000000000000000000006 -S3154004506000000000000000000000000000000000F6 -S3154004507000000000000000000000000000000000E6 -S3154004508000000000000000000000000000000000D6 -S3154004509000000000000000000000000000000000C6 -S315400450A000000000000000000000000000000000B6 -S315400450B000000000000000000000000000000000A6 -S315400450C00000000000000000000000000000000096 -S315400450D00000000000000000000000000000000086 -S315400450E00000000000000000000000000000000076 -S315400450F00000000000000000000000000000000066 -S315400451000000000000000000000000000000000055 -S315400451100000000000000000000000000000000045 -S315400451200000000000000000000000000000000035 -S315400451300000000000000000000000000000000025 -S315400451400000000000000000000000000000000015 -S315400451500000000000000000000000000000000005 -S3154004516000000000000000000000000000000000F5 -S3154004517000000000000000000000000000000000E5 -S3154004518000000000000000000000000000000000D5 -S3154004519000000000000000000000000000000000C5 -S315400451A000000000000000000000000000000000B5 -S315400451B000000000000000000000000000000000A5 -S315400451C00000000000000000000000000000000095 -S315400451D00000000000000000000000000000000085 -S315400451E00000000000000000000000000000000075 -S315400451F00000000000000000000000000000000065 -S315400452000000000000000000000000000000000054 -S315400452100000000000000000000000000000000044 -S315400452200000000000000000000000000000000034 -S315400452300000000000000000000000000000000024 -S315400452400000000000000000000000000000000014 -S315400452500000000000000000000000000000000004 -S3154004526000000000000000000000000000000000F4 -S3154004527000000000000000000000000000000000E4 -S3154004528000000000000000000000000000000000D4 -S3154004529000000000000000000000000000000000C4 -S315400452A000000000000000000000000000000000B4 -S315400452B000000000000000000000000000000000A4 -S315400452C00000000000000000000000000000000094 -S315400452D00000000000000000000000000000000084 -S315400452E00000000000000000000000000000000074 -S315400452F00000000000000000000000000000000064 -S315400453000000000000000000000000000000000053 -S315400453100000000000000000000000000000000043 -S315400453200000000000000000000000000000000033 -S315400453300000000000000000000000000000000023 -S315400453400000000000000000000000000000000013 -S315400453500000000000000000000000000000000003 -S3154004536000000000000000000000000000000000F3 -S3154004537000000000000000000000000000000000E3 -S3154004538000000000000000000000000000000000D3 -S3154004539000000000000000000000000000000000C3 -S315400453A000000000000000000000000000000000B3 -S315400453B000000000000000000000000000000000A3 -S315400453C00000000000000000000000000000000093 -S315400453D00000000000000000000000000000000083 -S315400453E00000000000000000000000000000000073 -S315400453F00000000000000000000000000000000063 -S315400454000000000000000000000000000000000052 -S315400454100000000000000000000000000000000042 -S315400454200000000000000000000000000000000032 -S315400454300000000000000000000000000000000022 -S315400454400000000000000000000000000000000012 -S315400454500000000000000000000000000000000002 -S3154004546000000000000000000000000000000000F2 -S3154004547000000000000000000000000000000000E2 -S3154004548000000000000000000000000000000000D2 -S3154004549000000000000000000000000000000000C2 -S315400454A000000000000000000000000000000000B2 -S315400454B000000000000000000000000000000000A2 -S315400454C00000000000000000000000000000000092 -S315400454D00000000000000000000000000000000082 -S315400454E00000000000000000000000000000000072 -S315400454F00000000000000000000000000000000062 -S315400455000000000000000000000000000000000051 -S315400455100000000000000000000000000000000041 -S315400455200000000000000000000000000000000031 -S315400455300000000000000000000000000000000021 -S315400455400000000000000000000000000000000011 -S315400455500000000000000000000000000000000001 -S3154004556000000000000000000000000000000000F1 -S3154004557000000000000000000000000000000000E1 -S3154004558000000000000000000000000000000000D1 -S3154004559000000000000000000000000000000000C1 -S315400455A000000000000000000000000000000000B1 -S315400455B000000000000000000000000000000000A1 -S315400455C00000000000000000000000000000000091 -S315400455D00000000000000000000000000000000081 -S315400455E00000000000000000000000000000000071 -S315400455F00000000000000000000000000000000061 -S315400456000000000000000000000000000000000050 -S315400456100000000000000000000000000000000040 -S315400456200000000000000000000000000000000030 -S315400456300000000000000000000000000000000020 -S315400456400000000000000000000000000000000010 -S315400456500000000000000000000000000000000000 -S3154004566000000000000000000000000000000000F0 -S3154004567000000000000000000000000000000000E0 -S3154004568000000000000000000000000000000000D0 -S3154004569000000000000000000000000000000000C0 -S315400456A000000000000000000000000000000000B0 -S315400456B000000000000000000000000000000000A0 -S315400456C00000000000000000000000000000000090 -S315400456D00000000000000000000000000000000080 -S315400456E00000000000000000000000000000000070 -S315400456F00000000000000000000000000000000060 -S31540045700000000000000000000000000000000004F -S31540045710000000000000000000000000000000003F -S31540045720000000000000000000000000000000002F -S31540045730000000000000000000000000000000001F -S31540045740000000000000000000000000000000000F -S3154004575000000000000000000000000000000000FF -S3154004576000000000000000000000000000000000EF -S3154004577000000000000000000000000000000000DF -S3154004578000000000000000000000000000000000CF -S3154004579000000000000000000000000000000000BF -S315400457A000000000000000000000000000000000AF -S315400457B0000000000000000000000000000000009F -S315400457C0000000000000000000000000000000008F -S315400457D0000000000000000000000000000000007F -S315400457E0000000000000000000000000000000006F -S315400457F0000000000000000000000000000000005F -S31540045800000000000000000000000000000000004E -S31540045810000000000000000000000000000000003E -S31540045820000000000000000000000000000000002E -S31540045830000000000000000000000000000000001E -S31540045840000000000000000000000000000000000E -S3154004585000000000000000000000000000000000FE -S3154004586000000000000000000000000000000000EE -S3154004587000000000000000000000000000000000DE -S3154004588000000000000000000000000000000000CE -S3154004589000000000000000000000000000000000BE -S315400458A000000000000000000000000000000000AE -S315400458B0000000000000000000000000000000009E -S315400458C0000000000000000000000000000000008E -S315400458D0000000000000000000000000000000007E -S315400458E0000000000000000000000000000000006E -S315400458F0000000000000000000000000000000005E -S31540045900000000000000000000000000000000004D -S31540045910000000000000000000000000000000003D -S31540045920000000000000000000000000000000002D -S31540045930000000000000000000000000000000001D -S31540045940000000000000000000000000000000000D -S3154004595000000000000000000000000000000000FD -S3154004596000000000000000000000000000000000ED -S3154004597000000000000000000000000000000000DD -S3154004598000000000000000000000000000000000CD -S3154004599000000000000000000000000000000000BD -S315400459A000000000000000000000000000000000AD -S315400459B0000000000000000000000000000000009D -S315400459C0000000000000000000000000000000008D -S315400459D0000000000000000000000000000000007D -S315400459E0000000000000000000000000000000006D -S315400459F0000000000000000000000000000000005D -S31540045A00000000000000000000000000000000004C -S31540045A10000000000000000000000000000000003C -S31540045A20000000000000000000000000000000002C -S31540045A30000000000000000000000000000000001C -S31540045A40000000000000000000000000000000000C -S31540045A5000000000000000000000000000000000FC -S31540045A6000000000000000000000000000000000EC -S31540045A7000000000000000000000000000000000DC -S31540045A8000000000000000000000000000000000CC -S31540045A9000000000000000000000000000000000BC -S31540045AA000000000000000000000000000000000AC -S31540045AB0000000000000000000000000000000009C -S31540045AC0000000000000000000000000000000008C -S31540045AD0000000000000000000000000000000007C -S31540045AE0000000000000000000000000000000006C -S31540045AF0000000000000000000000000000000005C -S31540045B00000000000000000000000000000000004B -S31540045B10000000000000000000000000000000003B -S31540045B20000000000000000000000000000000002B -S31540045B30000000000000000000000000000000001B -S31540045B40000000000000000000000000000000000B -S31540045B5000000000000000000000000000000000FB -S31540045B6000000000000000000000000000000000EB -S31540045B7000000000000000000000000000000000DB -S31540045B8000000000000000000000000000000000CB -S31540045B9000000000000000000000000000000000BB -S31540045BA000000000000000000000000000000000AB -S31540045BB0000000000000000000000000000000009B -S31540045BC0000000000000000000000000000000008B -S31540045BD0000000000000000000000000000000007B -S31540045BE0000000000000000000000000000000006B -S31540045BF0000000000000000000000000000000005B -S31540045C00000000000000000000000000000000004A -S31540045C10000000000000000000000000000000003A -S31540045C20000000000000000000000000000000002A -S31540045C30000000000000000000000000000000001A -S31540045C40000000000000000000000000000000000A -S31540045C5000000000000000000000000000000000FA -S31540045C6000000000000000000000000000000000EA -S31540045C7000000000000000000000000000000000DA -S31540045C8000000000000000000000000000000000CA -S31540045C9000000000000000000000000000000000BA -S31540045CA000000000000000000000000000000000AA -S31540045CB0000000000000000000000000000000009A -S31540045CC0000000000000000000000000000000008A -S31540045CD0000000000000000000000000000000007A -S31540045CE0000000000000000000000000000000006A -S31540045CF0000000000000000000000000000000005A -S31540045D000000000000000000000000000000000049 -S31540045D100000000000000000000000000000000039 -S31540045D200000000000000000000000000000000029 -S31540045D300000000000000000000000000000000019 -S31540045D400000000000000000000000000000000009 -S31540045D5000000000000000000000000000000000F9 -S31540045D6000000000000000000000000000000000E9 -S31540045D7000000000000000000000000000000000D9 -S31540045D8000000000000000000000000000000000C9 -S31540045D9000000000000000000000000000000000B9 -S31540045DA000000000000000000000000000000000A9 -S31540045DB00000000000000000000000000000000099 -S31540045DC00000000000000000000000000000000089 -S31540045DD00000000000000000000000000000000079 -S31540045DE00000000000000000000000000000000069 -S31540045DF00000000000000000000000000000000059 -S31540045E000000000000000000000000000000000048 -S31540045E100000000000000000000000000000000038 -S31540045E200000000000000000000000000000000028 -S31540045E300000000000000000000000000000000018 -S31540045E400000000000000000000000000000000008 -S31540045E5000000000000000000000000000000000F8 -S31540045E6000000000000000000000000000000000E8 -S31540045E7000000000000000000000000000000000D8 -S31540045E8000000000000000000000000000000000C8 -S31540045E9000000000000000000000000000000000B8 -S31540045EA000000000000000000000000000000000A8 -S31540045EB00000000000000000000000000000000098 -S31540045EC00000000000000000000000000000000088 -S31540045ED00000000000000000000000000000000078 -S31540045EE00000000000000000000000000000000068 -S31540045EF00000000000000000000000000000000058 -S31540045F000000000000000000000000000000000047 -S31540045F100000000000000000000000000000000037 -S31540045F200000000000000000000000000000000027 -S31540045F300000000000000000000000000000000017 -S31540045F400000000000000000000000000000000007 -S31540045F5000000000000000000000000000000000F7 -S31540045F6000000000000000000000000000000000E7 -S31540045F7000000000000000000000000000000000D7 -S31540045F8000000000000000000000000000000000C7 -S31540045F9000000000000000000000000000000000B7 -S31540045FA000000000000000000000000000000000A7 -S31540045FB00000000000000000000000000000000097 -S31540045FC00000000000000000000000000000000087 -S31540045FD00000000000000000000000000000000077 -S31540045FE00000000000000000000000000000000067 -S31540045FF00000000000000000000000000000000057 -S315400460000000000000000000000000000000000046 -S315400460100000000000000000000000000000000036 -S315400460200000000000000000000000000000000026 -S315400460300000000000000000000000000000000016 -S315400460400000000000000000000000000000000006 -S3154004605000000000000000000000000000000000F6 -S3154004606000000000000000000000000000000000E6 -S3154004607000000000000000000000000000000000D6 -S3154004608000000000000000000000000000000000C6 -S3154004609000000000000000000000000000000000B6 -S315400460A000000000000000000000000000000000A6 -S315400460B00000000000000000000000000000000096 -S315400460C00000000000000000000000000000000086 -S315400460D00000000000000000000000000000000076 -S315400460E00000000000000000000000000000000066 -S315400460F00000000000000000000000000000000056 -S315400461000000000000000000000000000000000045 -S315400461100000000000000000000000000000000035 -S315400461200000000000000000000000000000000025 -S315400461300000000000000000000000000000000015 -S315400461400000000000000000000000000000000005 -S3154004615000000000000000000000000000000000F5 -S3154004616000000000000000000000000000000000E5 -S3154004617000000000000000000000000000000000D5 -S3154004618000000000000000000000000000000000C5 -S3154004619000000000000000000000000000000000B5 -S315400461A000000000000000000000000000000000A5 -S315400461B00000000000000000000000000000000095 -S315400461C00000000000000000000000000000000085 -S315400461D00000000000000000000000000000000075 -S315400461E00000000000000000000000000000000065 -S315400461F00000000000000000000000000000000055 -S315400462000000000000000000000000000000000044 -S315400462100000000000000000000000000000000034 -S315400462200000000000000000000000000000000024 -S315400462300000000000000000000000000000000014 -S315400462400000000000000000000000000000000004 -S3154004625000000000000000000000000000000000F4 -S3154004626000000000000000000000000000000000E4 -S3154004627000000000000000000000000000000000D4 -S3154004628000000000000000000000000000000000C4 -S3154004629000000000000000000000000000000000B4 -S315400462A000000000000000000000000000000000A4 -S315400462B00000000000000000000000000000000094 -S315400462C00000000000000000000000000000000084 -S315400462D00000000000000000000000000000000074 -S315400462E00000000000000000000000000000000064 -S315400462F00000000000000000000000000000000054 -S315400463000000000000000000000000000000000043 -S315400463100000000000000000000000000000000033 -S315400463200000000000000000000000000000000023 -S315400463300000000000000000000000000000000013 -S315400463400000000000000000000000000000000003 -S3154004635000000000000000000000000000000000F3 -S3154004636000000000000000000000000000000000E3 -S3154004637000000000000000000000000000000000D3 -S3154004638000000000000000000000000000000000C3 -S3154004639000000000000000000000000000000000B3 -S315400463A000000000000000000000000000000000A3 -S315400463B00000000000000000000000000000000093 -S315400463C00000000000000000000000000000000083 -S315400463D00000000000000000000000000000000073 -S315400463E00000000000000000000000000000000063 -S315400463F00000000000000000000000000000000053 -S315400464000000000000000000000000000000000042 -S315400464100000000000000000000000000000000032 -S315400464200000000000000000000000000000000022 -S315400464300000000000000000000000000000000012 -S315400464400000000000000000000000000000000002 -S3154004645000000000000000000000000000000000F2 -S3154004646000000000000000000000000000000000E2 -S3154004647000000000000000000000000000000000D2 -S3154004648000000000000000000000000000000000C2 -S3154004649000000000000000000000000000000000B2 -S315400464A000000000000000000000000000000000A2 -S315400464B00000000000000000000000000000000092 -S315400464C00000000000000000000000000000000082 -S315400464D00000000000000000000000000000000072 -S315400464E00000000000000000000000000000000062 -S315400464F00000000000000000000000000000000052 -S315400465000000000000000000000000000000000041 -S315400465100000000000000000000000000000000031 -S315400465200000000000000000000000000000000021 -S315400465300000000000000000000000000000000011 -S315400465400000000000000000000000000000000001 -S3154004655000000000000000000000000000000000F1 -S3154004656000000000000000000000000000000000E1 -S3154004657000000000000000000000000000000000D1 -S3154004658000000000000000000000000000000000C1 -S3154004659000000000000000000000000000000000B1 -S315400465A000000000000000000000000000000000A1 -S315400465B00000000000000000000000000000000091 -S315400465C00000000000000000000000000000000081 -S315400465D00000000000000000000000000000000071 -S315400465E00000000000000000000000000000000061 -S315400465F00000000000000000000000000000000051 -S315400466000000000000000000000000000000000040 -S315400466100000000000000000000000000000000030 -S315400466200000000000000000000000000000000020 -S315400466300000000000000000000000000000000010 -S315400466400000000000000000000000000000000000 -S3154004665000000000000000000000000000000000F0 -S3154004666000000000000000000000000000000000E0 -S3154004667000000000000000000000000000000000D0 -S3154004668000000000000000000000000000000000C0 -S3154004669000000000000000000000000000000000B0 -S315400466A000000000000000000000000000000000A0 -S315400466B00000000000000000000000000000000090 -S315400466C00000000000000000000000000000000080 -S315400466D00000000000000000000000000000000070 -S315400466E00000000000000000000000000000000060 -S315400466F00000000000000000000000000000000050 -S31540046700000000000000000000000000000000003F -S31540046710000000000000000000000000000000002F -S31540046720000000000000000000000000000000001F -S31540046730000000000000000000000000000000000F -S3154004674000000000000000000000000000000000FF -S3154004675000000000000000000000000000000000EF -S3154004676000000000000000000000000000000000DF -S3154004677000000000000000000000000000000000CF -S3154004678000000000000000000000000000000000BF -S3154004679000000000000000000000000000000000AF -S315400467A0000000000000000000000000000000009F -S315400467B0000000000000000000000000000000008F -S315400467C0000000000000000000000000000000007F -S315400467D0000000000000000000000000000000006F -S315400467E0000000000000000000000000000000005F -S315400467F0000000000000000000000000000000004F -S31540046800000000000000000000000000000000003E -S31540046810000000000000000000000000000000002E -S31540046820000000000000000000000000000000001E -S31540046830000000000000000000000000000000000E -S3154004684000000000000000000000000000000000FE -S3154004685000000000000000000000000000000000EE -S3154004686000000000000000000000000000000000DE -S3154004687000000000000000000000000000000000CE -S3154004688000000000000000000000000000000000BE -S3154004689000000000000000000000000000000000AE -S315400468A0000000000000000000000000000000009E -S315400468B0000000000000000000000000000000008E -S315400468C0000000000000000000000000000000007E -S315400468D0000000000000000000000000000000006E -S315400468E0000000000000000000000000000000005E -S315400468F0000000000000000000000000000000004E -S31540046900000000000000000000000000000000003D -S31540046910000000000000000000000000000000002D -S31540046920000000000000000000000000000000001D -S31540046930000000000000000000000000000000000D -S3154004694000000000000000000000000000000000FD -S3154004695000000000000000000000000000000000ED -S3154004696000000000000000000000000000000000DD -S3154004697000000000000000000000000000000000CD -S3154004698000000000000000000000000000000000BD -S3154004699000000000000000000000000000000000AD -S315400469A0000000000000000000000000000000009D -S315400469B0000000000000000000000000000000008D -S315400469C0000000000000000000000000000000007D -S315400469D0000000000000000000000000000000006D -S315400469E0000000000000000000000000000000005D -S315400469F0000000000000000000000000000000004D -S31540046A00000000000000000000000000000000003C -S31540046A10000000000000000000000000000000002C -S31540046A20000000000000000000000000000000001C -S31540046A30000000000000000000000000000000000C -S31540046A4000000000000000000000000000000000FC -S31540046A5000000000000000000000000000000000EC -S31540046A6000000000000000000000000000000000DC -S31540046A7000000000000000000000000000000000CC -S31540046A8000000000000000000000000000000000BC -S31540046A9000000000000000000000000000000000AC -S31540046AA0000000000000000000000000000000009C -S31540046AB0000000000000000000000000000000008C -S31540046AC0000000000000000000000000000000007C -S31540046AD0000000000000000000000000000000006C -S31540046AE0000000000000000000000000000000005C -S31540046AF0000000000000000000000000000000004C -S31540046B00000000000000000000000000000000003B -S31540046B10000000000000000000000000000000002B -S31540046B20000000000000000000000000000000001B -S31540046B30000000000000000000000000000000000B -S31540046B4000000000000000000000000000000000FB -S31540046B5000000000000000000000000000000000EB -S31540046B6000000000000000000000000000000000DB -S31540046B7000000000000000000000000000000000CB -S31540046B8000000000000000000000000000000000BB -S31540046B9000000000000000000000000000000000AB -S31540046BA0000000000000000000000000000000009B -S31540046BB0000000000000000000000000000000008B -S31540046BC0000000000000000000000000000000007B -S31540046BD0000000000000000000000000000000006B -S31540046BE0000000000000000000000000000000005B -S31540046BF0000000000000000000000000000000004B -S31540046C00000000000000000000000000000000003A -S31540046C10000000000000000000000000000000002A -S31540046C20000000000000000000000000000000001A -S31540046C30000000000000000000000000000000000A -S31540046C4000000000000000000000000000000000FA -S31540046C5000000000000000000000000000000000EA -S31540046C6000000000000000000000000000000000DA -S31540046C7000000000000000000000000000000000CA -S31540046C8000000000000000000000000000000000BA -S31540046C9000000000000000000000000000000000AA -S31540046CA0000000000000000000000000000000009A -S31540046CB0000000000000000000000000000000008A -S31540046CC0000000000000000000000000000000007A -S31540046CD0000000000000000000000000000000006A -S31540046CE0000000000000000000000000000000005A -S31540046CF0000000000000000000000000000000004A -S31540046D000000000000000000000000000000000039 -S31540046D100000000000000000000000000000000029 -S31540046D200000000000000000000000000000000019 -S31540046D300000000000000000000000000000000009 -S31540046D4000000000000000000000000000000000F9 -S31540046D5000000000000000000000000000000000E9 -S31540046D6000000000000000000000000000000000D9 -S31540046D7000000000000000000000000000000000C9 -S31540046D8000000000000000000000000000000000B9 -S31540046D9000000000000000000000000000000000A9 -S31540046DA00000000000000000000000000000000099 -S31540046DB00000000000000000000000000000000089 -S31540046DC00000000000000000000000000000000079 -S31540046DD00000000000000000000000000000000069 -S31540046DE00000000000000000000000000000000059 -S31540046DF00000000000000000000000000000000049 -S31540046E000000000000000000000000000000000038 -S31540046E100000000000000000000000000000000028 -S31540046E200000000000000000000000000000000018 -S31540046E300000000000000000000000000000000008 -S31540046E4000000000000000000000000000000000F8 -S31540046E5000000000000000000000000000000000E8 -S31540046E6000000000000000000000000000000000D8 -S31540046E7000000000000000000000000000000000C8 -S31540046E8000000000000000000000000000000000B8 -S31540046E9000000000000000000000000000000000A8 -S31540046EA00000000000000000000000000000000098 -S31540046EB00000000000000000000000000000000088 -S31540046EC00000000000000000000000000000000078 -S31540046ED00000000000000000000000000000000068 -S31540046EE00000000000000000000000000000000058 -S31540046EF00000000000000000000000000000000048 -S31540046F000000000000000000000000000000000037 -S31540046F100000000000000000000000000000000027 -S31540046F200000000000000000000000000000000017 -S31540046F300000000000000000000000000000000007 -S31540046F4000000000000000000000000000000000F7 -S31540046F5000000000000000000000000000000000E7 -S31540046F6000000000000000000000000000000000D7 -S31540046F7000000000000000000000000000000000C7 -S31540046F8000000000000000000000000000000000B7 -S31540046F9000000000000000000000000000000000A7 -S31540046FA00000000000000000000000000000000097 -S31540046FB00000000000000000000000000000000087 -S31540046FC00000000000000000000000000000000077 -S31540046FD00000000000000000000000000000000067 -S31540046FE00000000000000000000000000000000057 -S31540046FF00000000000000000000000000000000047 -S315400470000000000000000000000000000000000036 -S315400470100000000000000000000000000000000026 -S315400470200000000000000000000000000000000016 -S315400470300000000000000000000000000000000006 -S3154004704000000000000000000000000000000000F6 -S3154004705000000000000000000000000000000000E6 -S3154004706000000000000000000000000000000000D6 -S3154004707000000000000000000000000000000000C6 -S3154004708000000000000000000000000000000000B6 -S3154004709000000000000000000000000000000000A6 -S315400470A00000000000000000000000000000000096 -S315400470B00000000000000000000000000000000086 -S315400470C00000000000000000000000000000000076 -S315400470D00000000000000000000000000000000066 -S315400470E00000000000000000000000000000000056 -S315400470F00000000000000000000000000000000046 -S315400471000000000000000000000000000000000035 -S315400471100000000000000000000000000000000025 -S315400471200000000000000000000000000000000015 -S315400471300000000000000000000000000000000005 -S3154004714000000000000000000000000000000000F5 -S3154004715000000000000000000000000000000000E5 -S3154004716000000000000000000000000000000000D5 -S3154004717000000000000000000000000000000000C5 -S3154004718000000000000000000000000000000000B5 -S3154004719000000000000000000000000000000000A5 -S315400471A00000000000000000000000000000000095 -S315400471B00000000000000000000000000000000085 -S315400471C00000000000000000000000000000000075 -S315400471D00000000000000000000000000000000065 -S315400471E00000000000000000000000000000000055 -S315400471F00000000000000000000000000000000045 -S315400472000000000000000000000000000000000034 -S315400472100000000000000000000000000000000024 -S315400472200000000000000000000000000000000014 -S315400472300000000000000000000000000000000004 -S3154004724000000000000000000000000000000000F4 -S3154004725000000000000000000000000000000000E4 -S3154004726000000000000000000000000000000000D4 -S3154004727000000000000000000000000000000000C4 -S3154004728000000000000000000000000000000000B4 -S3154004729000000000000000000000000000000000A4 -S315400472A00000000000000000000000000000000094 -S315400472B00000000000000000000000000000000084 -S315400472C00000000000000000000000000000000074 -S315400472D00000000000000000000000000000000064 -S315400472E00000000000000000000000000000000054 -S315400472F00000000000000000000000000000000044 -S315400473000000000000000000000000000000000033 -S315400473100000000000000000000000000000000023 -S315400473200000000000000000000000000000000013 -S315400473300000000000000000000000000000000003 -S3154004734000000000000000000000000000000000F3 -S3154004735000000000000000000000000000000000E3 -S3154004736000000000000000000000000000000000D3 -S3154004737000000000000000000000000000000000C3 -S3154004738000000000000000000000000000000000B3 -S3154004739000000000000000000000000000000000A3 -S315400473A00000000000000000000000000000000093 -S315400473B00000000000000000000000000000000083 -S315400473C00000000000000000000000000000000073 -S315400473D00000000000000000000000000000000063 -S315400473E00000000000000000000000000000000053 -S315400473F00000000000000000000000000000000043 -S315400474000000000000000000000000000000000032 -S315400474100000000000000000000000000000000022 -S315400474200000000000000000000000000000000012 -S315400474300000000000000000000000000000000002 -S3154004744000000000000000000000000000000000F2 -S3154004745000000000000000000000000000000000E2 -S3154004746000000000000000000000000000000000D2 -S3154004747000000000000000000000000000000000C2 -S3154004748000000000000000000000000000000000B2 -S3154004749000000000000000000000000000000000A2 -S315400474A00000000000000000000000000000000092 -S315400474B00000000000000000000000000000000082 -S315400474C00000000000000000000000000000000072 -S315400474D00000000000000000000000000000000062 -S315400474E00000000000000000000000000000000052 -S315400474F00000000000000000000000000000000042 -S315400475000000000000000000000000000000000031 -S315400475100000000000000000000000000000000021 -S315400475200000000000000000000000000000000011 -S315400475300000000000000000000000000000000001 -S3154004754000000000000000000000000000000000F1 -S3154004755000000000000000000000000000000000E1 -S3154004756000000000000000000000000000000000D1 -S3154004757000000000000000000000000000000000C1 -S3154004758000000000000000000000000000000000B1 -S3154004759000000000000000000000000000000000A1 -S315400475A00000000000000000000000000000000091 -S315400475B00000000000000000000000000000000081 -S315400475C00000000000000000000000000000000071 -S315400475D00000000000000000000000000000000061 -S315400475E00000000000000000000000000000000051 -S315400475F00000000000000000000000000000000041 -S315400476000000000000000000000000000000000030 -S315400476100000000000000000000000000000000020 -S315400476200000000000000000000000000000000010 -S315400476300000000000000000000000000000000000 -S3154004764000000000000000000000000000000000F0 -S3154004765000000000000000000000000000000000E0 -S3154004766000000000000000000000000000000000D0 -S3154004767000000000000000000000000000000000C0 -S3154004768000000000000000000000000000000000B0 -S3154004769000000000000000000000000000000000A0 -S315400476A00000000000000000000000000000000090 -S315400476B00000000000000000000000000000000080 -S315400476C00000000000000000000000000000000070 -S315400476D00000000000000000000000000000000060 -S315400476E00000000000000000000000000000000050 -S315400476F00000000000000000000000000000000040 -S31540047700000000000000000000000000000000002F -S31540047710000000000000000000000000000000001F -S31540047720000000000000000000000000000000000F -S3154004773000000000000000000000000000000000FF -S3154004774000000000000000000000000000000000EF -S3154004775000000000000000000000000000000000DF -S3154004776000000000000000000000000000000000CF -S3154004777000000000000000000000000000000000BF -S3154004778000000000000000000000000000000000AF -S31540047790000000000000000000000000000000009F -S315400477A0000000000000000000000000000000008F -S315400477B0000000000000000000000000000000007F -S315400477C0000000000000000000000000000000006F -S315400477D0000000000000000000000000000000005F -S315400477E0000000000000000000000000000000004F -S315400477F0000000000000000000000000000000003F -S31540047800000000000000000000000000000000002E -S31540047810000000000000000000000000000000001E -S31540047820000000000000000000000000000000000E -S3154004783000000000000000000000000000000000FE -S3154004784000000000000000000000000000000000EE -S3154004785000000000000000000000000000000000DE -S3154004786000000000000000000000000000000000CE -S3154004787000000000000000000000000000000000BE -S3154004788000000000000000000000000000000000AE -S31540047890000000000000000000000000000000009E -S315400478A0000000000000000000000000000000008E -S315400478B0000000000000000000000000000000007E -S315400478C0000000000000000000000000000000006E -S315400478D0000000000000000000000000000000005E -S315400478E0000000000000000000000000000000004E -S315400478F0000000000000000000000000000000003E -S31540047900000000000000000000000000000000002D -S31540047910000000000000000000000000000000001D -S31540047920000000000000000000000000000000000D -S3154004793000000000000000000000000000000000FD -S3154004794000000000000000000000000000000000ED -S3154004795000000000000000000000000000000000DD -S3154004796000000000000000000000000000000000CD -S3154004797000000000000000000000000000000000BD -S3154004798000000000000000000000000000000000AD -S31540047990000000000000000000000000000000009D -S315400479A0000000000000000000000000000000008D -S315400479B0000000000000000000000000000000007D -S315400479C0000000000000000000000000000000006D -S315400479D0000000000000000000000000000000005D -S315400479E0000000000000000000000000000000004D -S315400479F0000000000000000000000000000000003D -S31540047A00000000000000000000000000000000002C -S31540047A10000000000000000000000000000000001C -S31540047A20000000000000000000000000000000000C -S31540047A3000000000000000000000000000000000FC -S31540047A4000000000000000000000000000000000EC -S31540047A5000000000000000000000000000000000DC -S31540047A6000000000000000000000000000000000CC -S31540047A7000000000000000000000000000000000BC -S31540047A8000000000000000000000000000000000AC -S31540047A90000000000000000000000000000000009C -S31540047AA0000000000000000000000000000000008C -S31540047AB0000000000000000000000000000000007C -S31540047AC0000000000000000000000000000000006C -S31540047AD0000000000000000000000000000000005C -S31540047AE0000000000000000000000000000000004C -S31540047AF0000000000000000000000000000000003C -S31540047B00000000000000000000000000000000002B -S31540047B10000000000000000000000000000000001B -S31540047B20000000000000000000000000000000000B -S31540047B3000000000000000000000000000000000FB -S31540047B4000000000000000000000000000000000EB -S31540047B5000000000000000000000000000000000DB -S31540047B6000000000000000000000000000000000CB -S31540047B7000000000000000000000000000000000BB -S31540047B8000000000000000000000000000000000AB -S31540047B90000000000000000000000000000000009B -S31540047BA0000000000000000000000000000000008B -S31540047BB0000000000000000000000000000000007B -S31540047BC0000000000000000000000000000000006B -S31540047BD0000000000000000000000000000000005B -S31540047BE0000000000000000000000000000000004B -S31540047BF0000000000000000000000000000000003B -S31540047C00000000000000000000000000000000002A -S31540047C10000000000000000000000000000000001A -S31540047C20000000000000000000000000000000000A -S31540047C3000000000000000000000000000000000FA -S31540047C4000000000000000000000000000000000EA -S31540047C5000000000000000000000000000000000DA -S31540047C6000000000000000000000000000000000CA -S31540047C7000000000000000000000000000000000BA -S31540047C8000000000000000000000000000000000AA -S31540047C90000000000000000000000000000000009A -S31540047CA0000000000000000000000000000000008A -S31540047CB0000000000000000000000000000000007A -S31540047CC0000000000000000000000000000000006A -S31540047CD0000000000000000000000000000000005A -S31540047CE0000000000000000000000000000000004A -S31540047CF0000000000000000000000000000000003A -S31540047D000000000000000000000000000000000029 -S31540047D100000000000000000000000000000000019 -S31540047D200000000000000000000000000000000009 -S31540047D3000000000000000000000000000000000F9 -S31540047D4000000000000000000000000000000000E9 -S31540047D5000000000000000000000000000000000D9 -S31540047D6000000000000000000000000000000000C9 -S31540047D7000000000000000000000000000000000B9 -S31540047D8000000000000000000000000000000000A9 -S31540047D900000000000000000000000000000000099 -S31540047DA00000000000000000000000000000000089 -S31540047DB00000000000000000000000000000000079 -S31540047DC00000000000000000000000000000000069 -S31540047DD00000000000000000000000000000000059 -S31540047DE00000000000000000000000000000000049 -S31540047DF00000000000000000000000000000000039 -S31540047E000000000000000000000000000000000028 -S31540047E100000000000000000000000000000000018 -S31540047E200000000000000000000000000000000008 -S31540047E3000000000000000000000000000000000F8 -S31540047E4000000000000000000000000000000000E8 -S31540047E5000000000000000000000000000000000D8 -S31540047E6000000000000000000000000000000000C8 -S31540047E7000000000000000000000000000000000B8 -S31540047E8000000000000000000000000000000000A8 -S31540047E900000000000000000000000000000000098 -S31540047EA00000000000000000000000000000000088 -S31540047EB00000000000000000000000000000000078 -S31540047EC00000000000000000000000000000000068 -S31540047ED00000000000000000000000000000000058 -S31540047EE00000000000000000000000000000000048 -S31540047EF00000000000000000000000000000000038 -S31540047F000000000000000000000000000000000027 -S31540047F100000000000000000000000000000000017 -S31540047F200000000000000000000000000000000007 -S31540047F3000000000000000000000000000000000F7 -S31540047F4000000000000000000000000000000000E7 -S31540047F5000000000000000000000000000000000D7 -S31540047F6000000000000000000000000000000000C7 -S31540047F7000000000000000000000000000000000B7 -S31540047F8000000000000000000000000000000000A7 -S31540047F900000000000000000000000000000000097 -S31540047FA00000000000000000000000000000000087 -S31540047FB00000000000000000000000000000000077 -S31540047FC00000000000000000000000000000000067 -S31540047FD00000000000000000000000000000000057 -S31540047FE00000000000000000000000000000000047 -S31540047FF00000000000000000000000000000000037 -S315400480000000000000000000000000000000000026 -S315400480100000000000000000000000000000000016 -S315400480200000000000000000000000000000000006 -S3154004803000000000000000000000000000000000F6 -S3154004804000000000000000000000000000000000E6 -S3154004805000000000000000000000000000000000D6 -S3154004806000000000000000000000000000000000C6 -S3154004807000000000000000000000000000000000B6 -S3154004808000000000000000000000000000000000A6 -S315400480900000000000000000000000000000000096 -S315400480A00000000000000000000000000000000086 -S315400480B00000000000000000000000000000000076 -S315400480C00000000000000000000000000000000066 -S315400480D00000000000000000000000000000000056 -S315400480E00000000000000000000000000000000046 -S315400480F00000000000000000000000000000000036 -S315400481000000000000000000000000000000000025 -S315400481100000000000000000000000000000000015 -S315400481200000000000000000000000000000000005 -S3154004813000000000000000000000000000000000F5 -S3154004814000000000000000000000000000000000E5 -S3154004815000000000000000000000000000000000D5 -S3154004816000000000000000000000000000000000C5 -S3154004817000000000000000000000000000000000B5 -S3154004818000000000000000000000000000000000A5 -S315400481900000000000000000000000000000000095 -S315400481A00000000000000000000000000000000085 -S315400481B00000000000000000000000000000000075 -S315400481C00000000000000000000000000000000065 -S315400481D00000000000000000000000000000000055 -S315400481E00000000000000000000000000000000045 -S315400481F00000000000000000000000000000000035 -S315400482000000000000000000000000000000000024 -S315400482100000000000000000000000000000000014 -S315400482200000000000000000000000000000000004 -S3154004823000000000000000000000000000000000F4 -S3154004824000000000000000000000000000000000E4 -S3154004825000000000000000000000000000000000D4 -S3154004826000000000000000000000000000000000C4 -S3154004827000000000000000000000000000000000B4 -S3154004828000000000000000000000000000000000A4 -S315400482900000000000000000000000000000000094 -S315400482A00000000000000000000000000000000084 -S315400482B00000000000000000000000000000000074 -S315400482C00000000000000000000000000000000064 -S315400482D00000000000000000000000000000000054 -S315400482E00000000000000000000000000000000044 -S315400482F00000000000000000000000000000000034 -S315400483000000000000000000000000000000000023 -S315400483100000000000000000000000000000000013 -S315400483200000000000000000000000000000000003 -S3154004833000000000000000000000000000000000F3 -S3154004834000000000000000000000000000000000E3 -S3154004835000000000000000000000000000000000D3 -S3154004836000000000000000000000000000000000C3 -S3154004837000000000000000000000000000000000B3 -S3154004838000000000000000000000000000000000A3 -S315400483900000000000000000000000000000000093 -S315400483A00000000000000000000000000000000083 -S315400483B00000000000000000000000000000000073 -S315400483C00000000000000000000000000000000063 -S315400483D00000000000000000000000000000000053 -S315400483E00000000000000000000000000000000043 -S315400483F00000000000000000000000000000000033 -S315400484000000000000000000000000000000000022 -S315400484100000000000000000000000000000000012 -S315400484200000000000000000000000000000000002 -S3154004843000000000000000000000000000000000F2 -S3154004844000000000000000000000000000000000E2 -S3154004845000000000000000000000000000000000D2 -S3154004846000000000000000000000000000000000C2 -S3154004847000000000000000000000000000000000B2 -S3154004848000000000000000000000000000000000A2 -S315400484900000000000000000000000000000000092 -S315400484A00000000000000000000000000000000082 -S315400484B00000000000000000000000000000000072 -S315400484C00000000000000000000000000000000062 -S315400484D00000000000000000000000000000000052 -S315400484E00000000000000000000000000000000042 -S315400484F00000000000000000000000000000000032 -S315400485000000000000000000000000000000000021 -S315400485100000000000000000000000000000000011 -S315400485200000000000000000000000000000000001 -S3154004853000000000000000000000000000000000F1 -S3154004854000000000000000000000000000000000E1 -S3154004855000000000000000000000000000000000D1 -S3154004856000000000000000000000000000000000C1 -S3154004857000000000000000000000000000000000B1 -S3154004858000000000000000000000000000000000A1 -S315400485900000000000000000000000000000000091 -S315400485A00000000000000000000000000000000081 -S315400485B00000000000000000000000000000000071 -S315400485C00000000000000000000000000000000061 -S315400485D00000000000000000000000000000000051 -S315400485E00000000000000000000000000000000041 -S315400485F00000000000000000000000000000000031 -S315400486000000000000000000000000000000000020 -S315400486100000000000000000000000000000000010 -S315400486200000000000000000000000000000000000 -S3154004863000000000000000000000000000000000F0 -S3154004864000000000000000000000000000000000E0 -S3154004865000000000000000000000000000000000D0 -S3154004866000000000000000000000000000000000C0 -S3154004867000000000000000000000000000000000B0 -S3154004868000000000000000000000000000000000A0 -S315400486900000000000000000000000000000000090 -S315400486A00000000000000000000000000000000080 -S315400486B00000000000000000000000000000000070 -S315400486C00000000000000000000000000000000060 -S315400486D00000000000000000000000000000000050 -S315400486E00000000000000000000000000000000040 -S315400486F00000000000000000000000000000000030 -S31540048700000000000000000000000000000000001F -S31540048710000000000000000000000000000000000F -S3154004872000000000000000000000000000000000FF -S3154004873000000000000000000000000000000000EF -S3154004874000000000000000000000000000000000DF -S3154004875000000000000000000000000000000000CF -S3154004876000000000000000000000000000000000BF -S3154004877000000000000000000000000000000000AF -S31540048780000000000000000000000000000000009F -S31540048790000000000000000000000000000000008F -S315400487A0000000000000000000000000000000007F -S315400487B0000000000000000000000000000000006F -S315400487C0000000000000000000000000000000005F -S315400487D0000000000000000000000000000000004F -S315400487E0000000000000000000000000000000003F -S315400487F0000000000000000000000000000000002F -S31540048800000000000000000000000000000000001E -S31540048810000000000000000000000000000000000E -S3154004882000000000000000000000000000000000FE -S3154004883000000000000000000000000000000000EE -S3154004884000000000000000000000000000000000DE -S3154004885000000000000000000000000000000000CE -S3154004886000000000000000000000000000000000BE -S3154004887000000000000000000000000000000000AE -S31540048880000000000000000000000000000000009E -S31540048890000000000000000000000000000000008E -S315400488A0000000000000000000000000000000007E -S315400488B0000000000000000000000000000000006E -S315400488C0000000000000000000000000000000005E -S315400488D0000000000000000000000000000000004E -S315400488E0000000000000000000000000000000003E -S315400488F0000000000000000000000000000000002E -S31540048900000000000000000000000000000000001D -S31540048910000000000000000000000000000000000D -S3154004892000000000000000000000000000000000FD -S3154004893000000000000000000000000000000000ED -S3154004894000000000000000000000000000000000DD -S3154004895000000000000000000000000000000000CD -S3154004896000000000000000000000000000000000BD -S3154004897000000000000000000000000000000000AD -S31540048980000000000000000000000000000000009D -S31540048990000000000000000000000000000000008D -S315400489A0000000000000000000000000000000007D -S315400489B0000000000000000000000000000000006D -S315400489C0000000000000000000000000000000005D -S315400489D0000000000000000000000000000000004D -S315400489E0000000000000000000000000000000003D -S315400489F0000000000000000000000000000000002D -S31540048A00000000000000000000000000000000001C -S31540048A10000000000000000000000000000000000C -S31540048A2000000000000000000000000000000000FC -S31540048A3000000000000000000000000000000000EC -S31540048A4000000000000000000000000000000000DC -S31540048A5000000000000000000000000000000000CC -S31540048A6000000000000000000000000000000000BC -S31540048A7000000000000000000000000000000000AC -S31540048A80000000000000000000000000000000009C -S31540048A90000000000000000000000000000000008C -S31540048AA0000000000000000000000000000000007C -S31540048AB0000000000000000000000000000000006C -S31540048AC0000000000000000000000000000000005C -S31540048AD0000000000000000000000000000000004C -S31540048AE0000000000000000000000000000000003C -S31540048AF0000000000000000000000000000000002C -S31540048B00000000000000000000000000000000001B -S31540048B10000000000000000000000000000000000B -S31540048B2000000000000000000000000000000000FB -S31540048B3000000000000000000000000000000000EB -S31540048B4000000000000000000000000000000000DB -S31540048B5000000000000000000000000000000000CB -S31540048B6000000000000000000000000000000000BB -S31540048B7000000000000000000000000000000000AB -S31540048B80000000000000000000000000000000009B -S31540048B90000000000000000000000000000000008B -S31540048BA0000000000000000000000000000000007B -S31540048BB0000000000000000000000000000000006B -S31540048BC0000000000000000000000000000000005B -S31540048BD0000000000000000000000000000000004B -S31540048BE0000000000000000000000000000000003B -S31540048BF0000000000000000000000000000000002B -S31540048C00000000000000000000000000000000001A -S31540048C10000000000000000000000000000000000A -S31540048C2000000000000000000000000000000000FA -S31540048C3000000000000000000000000000000000EA -S31540048C4000000000000000000000000000000000DA -S31540048C5000000000000000000000000000000000CA -S31540048C6000000000000000000000000000000000BA -S31540048C7000000000000000000000000000000000AA -S31540048C80000000000000000000000000000000009A -S31540048C90000000000000000000000000000000008A -S31540048CA0000000000000000000000000000000007A -S31540048CB0000000000000000000000000000000006A -S31540048CC0000000000000000000000000000000005A -S31540048CD0000000000000000000000000000000004A -S31540048CE0000000000000000000000000000000003A -S31540048CF0000000000000000000000000000000002A -S31540048D000000000000000000000000000000000019 -S31540048D100000000000000000000000000000000009 -S31540048D2000000000000000000000000000000000F9 -S31540048D3000000000000000000000000000000000E9 -S31540048D4000000000000000000000000000000000D9 -S31540048D5000000000000000000000000000000000C9 -S31540048D6000000000000000000000000000000000B9 -S31540048D7000000000000000000000000000000000A9 -S31540048D800000000000000000000000000000000099 -S31540048D900000000000000000000000000000000089 -S31540048DA00000000000000000000000000000000079 -S31540048DB00000000000000000000000000000000069 -S31540048DC00000000000000000000000000000000059 -S31540048DD00000000000000000000000000000000049 -S31540048DE00000000000000000000000000000000039 -S31540048DF00000000000000000000000000000000029 -S31540048E000000000000000000000000000000000018 -S31540048E100000000000000000000000000000000008 -S31540048E2000000000000000000000000000000000F8 -S31540048E3000000000000000000000000000000000E8 -S31540048E4000000000000000000000000000000000D8 -S31540048E5000000000000000000000000000000000C8 -S31540048E6000000000000000000000000000000000B8 -S31540048E7000000000000000000000000000000000A8 -S31540048E800000000000000000000000000000000098 -S31540048E900000000000000000000000000000000088 -S31540048EA00000000000000000000000000000000078 -S31540048EB00000000000000000000000000000000068 -S31540048EC00000000000000000000000000000000058 -S31540048ED00000000000000000000000000000000048 -S31540048EE00000000000000000000000000000000038 -S31540048EF00000000000000000000000000000000028 -S31540048F000000000000000000000000000000000017 -S31540048F100000000000000000000000000000000007 -S31540048F2000000000000000000000000000000000F7 -S31540048F3000000000000000000000000000000000E7 -S31540048F4000000000000000000000000000000000D7 -S31540048F5000000000000000000000000000000000C7 -S31540048F6000000000000000000000000000000000B7 -S31540048F7000000000000000000000000000000000A7 -S31540048F800000000000000000000000000000000097 -S31540048F900000000000000000000000000000000087 -S31540048FA00000000000000000000000000000000077 -S31540048FB00000000000000000000000000000000067 -S31540048FC00000000000000000000000000000000057 -S31540048FD00000000000000000000000000000000047 -S31540048FE00000000000000000000000000000000037 -S31540048FF00000000000000000000000000000000027 -S315400490000000000000000000000000000000000016 -S315400490100000000000000000000000000000000006 -S3154004902000000000000000000000000000000000F6 -S3154004903000000000000000000000000000000000E6 -S3154004904000000000000000000000000000000000D6 -S3154004905000000000000000000000000000000000C6 -S3154004906000000000000000000000000000000000B6 -S3154004907000000000000000000000000000000000A6 -S315400490800000000000000000000000000000000096 -S315400490900000000000000000000000000000000086 -S315400490A00000000000000000000000000000000076 -S315400490B00000000000000000000000000000000066 -S315400490C00000000000000000000000000000000056 -S315400490D00000000000000000000000000000000046 -S315400490E00000000000000000000000000000000036 -S315400490F00000000000000000000000000000000026 -S315400491000000000000000000000000000000000015 -S315400491100000000000000000000000000000000005 -S3154004912000000000000000000000000000000000F5 -S3154004913000000000000000000000000000000000E5 -S3154004914000000000000000000000000000000000D5 -S3154004915000000000000000000000000000000000C5 -S3154004916000000000000000000000000000000000B5 -S3154004917000000000000000000000000000000000A5 -S315400491800000000000000000000000000000000095 -S315400491900000000000000000000000000000000085 -S315400491A00000000000000000000000000000000075 -S315400491B00000000000000000000000000000000065 -S315400491C00000000000000000000000000000000055 -S315400491D00000000000000000000000000000000045 -S315400491E00000000000000000000000000000000035 -S315400491F00000000000000000000000000000000025 -S315400492000000000000000000000000000000000014 -S315400492100000000000000000000000000000000004 -S3154004922000000000000000000000000000000000F4 -S3154004923000000000000000000000000000000000E4 -S3154004924000000000000000000000000000000000D4 -S3154004925000000000000000000000000000000000C4 -S3154004926000000000000000000000000000000000B4 -S3154004927000000000000000000000000000000000A4 -S315400492800000000000000000000000000000000094 -S315400492900000000000000000000000000000000084 -S315400492A00000000000000000000000000000000074 -S315400492B00000000000000000000000000000000064 -S315400492C00000000000000000000000000000000054 -S315400492D00000000000000000000000000000000044 -S315400492E00000000000000000000000000000000034 -S315400492F00000000000000000000000000000000024 -S315400493000000000000000000000000000000000013 -S315400493100000000000000000000000000000000003 -S3154004932000000000000000000000000000000000F3 -S3154004933000000000000000000000000000000000E3 -S3154004934000000000000000000000000000000000D3 -S3154004935000000000000000000000000000000000C3 -S3154004936000000000000000000000000000000000B3 -S3154004937000000000000000000000000000000000A3 -S315400493800000000000000000000000000000000093 -S315400493900000000000000000000000000000000083 -S315400493A00000000000000000000000000000000073 -S315400493B00000000000000000000000000000000063 -S315400493C00000000000000000000000000000000053 -S315400493D00000000000000000000000000000000043 -S315400493E00000000000000000000000000000000033 -S315400493F00000000000000000000000000000000023 -S315400494000000000000000000000000000000000012 -S315400494100000000000000000000000000000000002 -S3154004942000000000000000000000000000000000F2 -S3154004943000000000000000000000000000000000E2 -S3154004944000000000000000000000000000000000D2 -S3154004945000000000000000000000000000000000C2 -S3154004946000000000000000000000000000000000B2 -S3154004947000000000000000000000000000000000A2 -S315400494800000000000000000000000000000000092 -S315400494900000000000000000000000000000000082 -S315400494A00000000000000000000000000000000072 -S315400494B00000000000000000000000000000000062 -S315400494C00000000000000000000000000000000052 -S315400494D00000000000000000000000000000000042 -S315400494E00000000000000000000000000000000032 -S315400494F00000000000000000000000000000000022 -S315400495000000000000000000000000000000000011 -S315400495100000000000000000000000000000000001 -S3154004952000000000000000000000000000000000F1 -S3154004953000000000000000000000000000000000E1 -S3154004954000000000000000000000000000000000D1 -S3154004955000000000000000000000000000000000C1 -S3154004956000000000000000000000000000000000B1 -S3154004957000000000000000000000000000000000A1 -S315400495800000000000000000000000000000000091 -S315400495900000000000000000000000000000000081 -S315400495A00000000000000000000000000000000071 -S315400495B00000000000000000000000000000000061 -S315400495C00000000000000000000000000000000051 -S315400495D00000000000000000000000000000000041 -S315400495E00000000000000000000000000000000031 -S315400495F00000000000000000000000000000000021 -S315400496000000000000000000000000000000000010 -S315400496100000000000000000000000000000000000 -S3154004962000000000000000000000000000000000F0 -S3154004963000000000000000000000000000000000E0 -S3154004964000000000000000000000000000000000D0 -S3154004965000000000000000000000000000000000C0 -S3154004966000000000000000000000000000000000B0 -S3154004967000000000000000000000000000000000A0 -S315400496800000000000000000000000000000000090 -S315400496900000000000000000000000000000000080 -S315400496A00000000000000000000000000000000070 -S315400496B00000000000000000000000000000000060 -S315400496C00000000000000000000000000000000050 -S315400496D00000000000000000000000000000000040 -S315400496E00000000000000000000000000000000030 -S315400496F00000000000000000000000000000000020 -S31540049700000000000000000000000000000000000F -S3154004971000000000000000000000000000000000FF -S3154004972000000000000000000000000000000000EF -S3154004973000000000000000000000000000000000DF -S3154004974000000000000000000000000000000000CF -S3154004975000000000000000000000000000000000BF -S3154004976000000000000000000000000000000000AF -S31540049770000000000000000000000000000000009F -S31540049780000000000000000000000000000000008F -S31540049790000000000000000000000000000000007F -S315400497A0000000000000000000000000000000006F -S315400497B0000000000000000000000000000000005F -S315400497C0000000000000000000000000000000004F -S315400497D0000000000000000000000000000000003F -S315400497E0000000000000000000000000000000002F -S315400497F0000000000000000000000000000000001F -S31540049800000000000000000000000000000000000E -S3154004981000000000000000000000000000000000FE -S3154004982000000000000000000000000000000000EE -S3154004983000000000000000000000000000000000DE -S3154004984000000000000000000000000000000000CE -S3154004985000000000000000000000000000000000BE -S3154004986000000000000000000000000000000000AE -S31540049870000000000000000000000000000000009E -S31540049880000000000000000000000000000000008E -S31540049890000000000000000000000000000000007E -S315400498A0000000000000000000000000000000006E -S315400498B0000000000000000000000000000000005E -S315400498C0000000000000000000000000000000004E -S315400498D0000000000000000000000000000000003E -S315400498E0000000000000000000000000000000002E -S315400498F0000000000000000000000000000000001E -S31540049900000000000000000000000000000000000D -S3154004991000000000000000000000000000000000FD -S3154004992000000000000000000000000000000000ED -S3154004993000000000000000000000000000000000DD -S3154004994000000000000000000000000000000000CD -S3154004995000000000000000000000000000000000BD -S3154004996000000000000000000000000000000000AD -S31540049970000000000000000000000000000000009D -S31540049980000000000000000000000000000000008D -S31540049990000000000000000000000000000000007D -S315400499A0000000000000000000000000000000006D -S315400499B0000000000000000000000000000000005D -S315400499C0000000000000000000000000000000004D -S315400499D0000000000000000000000000000000003D -S315400499E0000000000000000000000000000000002D -S315400499F0000000000000000000000000000000001D -S31540049A00000000000000000000000000000000000C -S31540049A1000000000000000000000000000000000FC -S31540049A2000000000000000000000000000000000EC -S31540049A3000000000000000000000000000000000DC -S31540049A4000000000000000000000000000000000CC -S31540049A5000000000000000000000000000000000BC -S31540049A6000000000000000000000000000000000AC -S31540049A70000000000000000000000000000000009C -S31540049A80000000000000000000000000000000008C -S31540049A90000000000000000000000000000000007C -S31540049AA0000000000000000000000000000000006C -S31540049AB0000000000000000000000000000000005C -S31540049AC0000000000000000000000000000000004C -S31540049AD0000000000000000000000000000000003C -S31540049AE0000000000000000000000000000000002C -S31540049AF0000000000000000000000000000000001C -S31540049B00000000000000000000000000000000000B -S31540049B1000000000000000000000000000000000FB -S31540049B2000000000000000000000000000000000EB -S31540049B3000000000000000000000000000000000DB -S31540049B4000000000000000000000000000000000CB -S31540049B5000000000000000000000000000000000BB -S31540049B6000000000000000000000000000000000AB -S31540049B70000000000000000000000000000000009B -S31540049B80000000000000000000000000000000008B -S31540049B90000000000000000000000000000000007B -S31540049BA0000000000000000000000000000000006B -S31540049BB0000000000000000000000000000000005B -S31540049BC0000000000000000000000000000000004B -S31540049BD0000000000000000000000000000000003B -S31540049BE0000000000000000000000000000000002B -S31540049BF0000000000000000000000000000000001B -S31540049C00000000000000000000000000000000000A -S31540049C1000000000000000000000000000000000FA -S31540049C2000000000000000000000000000000000EA -S31540049C3000000000000000000000000000000000DA -S31540049C4000000000000000000000000000000000CA -S31540049C5000000000000000000000000000000000BA -S31540049C6000000000000000000000000000000000AA -S31540049C70000000000000000000000000000000009A -S31540049C80000000000000000000000000000000008A -S31540049C90000000000000000000000000000000007A -S31540049CA0000000000000000000000000000000006A -S31540049CB0000000000000000000000000000000005A -S31540049CC0000000000000000000000000000000004A -S31540049CD0000000000000000000000000000000003A -S31540049CE0000000000000000000000000000000002A -S31540049CF0000000000000000000000000000000001A -S31540049D000000000000000000000000000000000009 -S31540049D1000000000000000000000000000000000F9 -S31540049D2000000000000000000000000000000000E9 -S31540049D3000000000000000000000000000000000D9 -S31540049D4000000000000000000000000000000000C9 -S31540049D5000000000000000000000000000000000B9 -S31540049D6000000000000000000000000000000000A9 -S31540049D700000000000000000000000000000000099 -S31540049D800000000000000000000000000000000089 -S31540049D900000000000000000000000000000000079 -S31540049DA00000000000000000000000000000000069 -S31540049DB00000000000000000000000000000000059 -S31540049DC00000000000000000000000000000000049 -S31540049DD00000000000000000000000000000000039 -S31540049DE00000000000000000000000000000000029 -S31540049DF00000000000000000000000000000000019 -S31540049E000000000000000000000000000000000008 -S31540049E1000000000000000000000000000000000F8 -S31540049E2000000000000000000000000000000000E8 -S31540049E3000000000000000000000000000000000D8 -S31540049E4000000000000000000000000000000000C8 -S31540049E5000000000000000000000000000000000B8 -S31540049E6000000000000000000000000000000000A8 -S31540049E700000000000000000000000000000000098 -S31540049E800000000000000000000000000000000088 -S31540049E900000000000000000000000000000000078 -S31540049EA00000000000000000000000000000000068 -S31540049EB00000000000000000000000000000000058 -S31540049EC00000000000000000000000000000000048 -S31540049ED00000000000000000000000000000000038 -S31540049EE00000000000000000000000000000000028 -S31540049EF00000000000000000000000000000000018 -S31540049F000000000000000000000000000000000007 -S31540049F1000000000000000000000000000000000F7 -S31540049F2000000000000000000000000000000000E7 -S31540049F3000000000000000000000000000000000D7 -S31540049F4000000000000000000000000000000000C7 -S31540049F5000000000000000000000000000000000B7 -S31540049F6000000000000000000000000000000000A7 -S31540049F700000000000000000000000000000000097 -S31540049F800000000000000000000000000000000087 -S31540049F900000000000000000000000000000000077 -S31540049FA00000000000000000000000000000000067 -S31540049FB00000000000000000000000000000000057 -S31540049FC00000000000000000000000000000000047 -S31540049FD00000000000000000000000000000000037 -S31540049FE00000000000000000000000000000000027 -S31540049FF00000000000000000000000000000000017 -S3154004A0000000000000000000000000000000000006 -S3154004A01000000000000000000000000000000000F6 -S3154004A02000000000000000000000000000000000E6 -S3154004A03000000000000000000000000000000000D6 -S3154004A04000000000000000000000000000000000C6 -S3154004A05000000000000000000000000000000000B6 -S3154004A06000000000000000000000000000000000A6 -S3154004A0700000000000000000000000000000000096 -S3154004A0800000000000000000000000000000000086 -S3154004A0900000000000000000000000000000000076 -S3154004A0A00000000000000000000000000000000066 -S3154004A0B00000000000000000000000000000000056 -S3154004A0C00000000000000000000000000000000046 -S3154004A0D00000000000000000000000000000000036 -S3154004A0E00000000000000000000000000000000026 -S3154004A0F00000000000000000000000000000000016 -S3154004A1000000000000000000000000000000000005 -S3154004A11000000000000000000000000000000000F5 -S3154004A12000000000000000000000000000000000E5 -S3154004A13000000000000000000000000000000000D5 -S3154004A14000000000000000000000000000000000C5 -S3154004A15000000000000000000000000000000000B5 -S3154004A16000000000000000000000000000000000A5 -S3154004A1700000000000000000000000000000000095 -S3154004A1800000000000000000000000000000000085 -S3154004A1900000000000000000000000000000000075 -S3154004A1A00000000000000000000000000000000065 -S3154004A1B00000000000000000000000000000000055 -S3154004A1C00000000000000000000000000000000045 -S3154004A1D00000000000000000000000000000000035 -S3154004A1E00000000000000000000000000000000025 -S3154004A1F00000000000000000000000000000000015 -S3154004A2000000000000000000000000000000000004 -S3154004A21000000000000000000000000000000000F4 -S3154004A22000000000000000000000000000000000E4 -S3154004A23000000000000000000000000000000000D4 -S3154004A24000000000000000000000000000000000C4 -S3154004A25000000000000000000000000000000000B4 -S3154004A26000000000000000000000000000000000A4 -S3154004A2700000000000000000000000000000000094 -S3154004A2800000000000000000000000000000000084 -S3154004A2900000000000000000000000000000000074 -S3154004A2A00000000000000000000000000000000064 -S3154004A2B00000000000000000000000000000000054 -S3154004A2C00000000000000000000000000000000044 -S3154004A2D00000000000000000000000000000000034 -S3154004A2E00000000000000000000000000000000024 -S3154004A2F00000000000000000000000000000000014 -S3154004A3000000000000000000000000000000000003 -S3154004A31000000000000000000000000000000000F3 -S3154004A32000000000000000000000000000000000E3 -S3154004A33000000000000000000000000000000000D3 -S3154004A34000000000000000000000000000000000C3 -S3154004A35000000000000000000000000000000000B3 -S3154004A36000000000000000000000000000000000A3 -S3154004A3700000000000000000000000000000000093 -S3154004A3800000000000000000000000000000000083 -S3154004A3900000000000000000000000000000000073 -S3154004A3A00000000000000000000000000000000063 -S3154004A3B00000000000000000000000000000000053 -S3154004A3C00000000000000000000000000000000043 -S3154004A3D00000000000000000000000000000000033 -S3154004A3E00000000000000000000000000000000023 -S3154004A3F00000000000000000000000000000000013 -S3154004A4000000000000000000000000000000000002 -S3154004A41000000000000000000000000000000000F2 -S3154004A42000000000000000000000000000000000E2 -S3154004A43000000000000000000000000000000000D2 -S3154004A44000000000000000000000000000000000C2 -S3154004A45000000000000000000000000000000000B2 -S3154004A46000000000000000000000000000000000A2 -S3154004A4700000000000000000000000000000000092 -S3154004A4800000000000000000000000000000000082 -S3154004A4900000000000000000000000000000000072 -S3154004A4A00000000000000000000000000000000062 -S3154004A4B00000000000000000000000000000000052 -S3154004A4C00000000000000000000000000000000042 -S3154004A4D00000000000000000000000000000000032 -S3154004A4E00000000000000000000000000000000022 -S3154004A4F00000000000000000000000000000000012 -S3154004A5000000000000000000000000000000000001 -S3154004A51000000000000000000000000000000000F1 -S3154004A52000000000000000000000000000000000E1 -S3154004A53000000000000000000000000000000000D1 -S3154004A54000000000000000000000000000000000C1 -S3154004A55000000000000000000000000000000000B1 -S3154004A56000000000000000000000000000000000A1 -S3154004A5700000000000000000000000000000000091 -S3154004A5800000000000000000000000000000000081 -S3154004A5900000000000000000000000000000000071 -S3154004A5A00000000000000000000000000000000061 -S3154004A5B00000000000000000000000000000000051 -S3154004A5C00000000000000000000000000000000041 -S3154004A5D00000000000000000000000000000000031 -S3154004A5E00000000000000000000000000000000021 -S3154004A5F00000000000000000000000000000000011 -S3154004A6000000000000000000000000000000000000 -S3154004A61000000000000000000000000000000000F0 -S3154004A62000000000000000000000000000000000E0 -S3154004A63000000000000000000000000000000000D0 -S3154004A64000000000000000000000000000000000C0 -S3154004A65000000000000000000000000000000000B0 -S3154004A66000000000000000000000000000000000A0 -S3154004A6700000000000000000000000000000000090 -S3154004A6800000000000000000000000000000000080 -S3154004A6900000000000000000000000000000000070 -S3154004A6A00000000000000000000000000000000060 -S3154004A6B00000000000000000000000000000000050 -S3154004A6C00000000000000000000000000000000040 -S3154004A6D00000000000000000000000000000000030 -S3154004A6E00000000000000000000000000000000020 -S3154004A6F00000000000000000000000000000000010 -S3154004A70000000000000000000000000000000000FF -S3154004A71000000000000000000000000000000000EF -S3154004A72000000000000000000000000000000000DF -S3154004A73000000000000000000000000000000000CF -S3154004A74000000000000000000000000000000000BF -S3154004A75000000000000000000000000000000000AF -S3154004A760000000000000000000000000000000009F -S3154004A770000000000000000000000000000000008F -S3154004A780000000000000000000000000000000007F -S3154004A790000000000000000000000000000000006F -S3154004A7A0000000000000000000000000000000005F -S3154004A7B0000000000000000000000000000000004F -S3154004A7C0000000000000000000000000000000003F -S3154004A7D0000000000000000000000000000000002F -S3154004A7E0000000000000000000000000000000001F -S3154004A7F0000000000000000000000000000000000F -S3154004A80000000000000000000000000000000000FE -S3154004A81000000000000000000000000000000000EE -S3154004A82000000000000000000000000000000000DE -S3154004A83000000000000000000000000000000000CE -S3154004A84000000000000000000000000000000000BE -S3154004A85000000000000000000000000000000000AE -S3154004A860000000000000000000000000000000009E -S3154004A870000000000000000000000000000000008E -S3154004A880000000000000000000000000000000007E -S3154004A890000000000000000000000000000000006E -S3154004A8A0000000000000000000000000000000005E -S3154004A8B0000000000000000000000000000000004E -S3154004A8C0000000000000000000000000000000003E -S3154004A8D0000000000000000000000000000000002E -S3154004A8E0000000000000000000000000000000001E -S3154004A8F0000000000000000000000000000000000E -S3154004A90000000000000000000000000000000000FD -S3154004A91000000000000000000000000000000000ED -S3154004A92000000000000000000000000000000000DD -S3154004A93000000000000000000000000000000000CD -S3154004A94000000000000000000000000000000000BD -S3154004A95000000000000000000000000000000000AD -S3154004A960000000000000000000000000000000009D -S3154004A970000000000000000000000000000000008D -S3154004A980000000000000000000000000000000007D -S3154004A990000000000000000000000000000000006D -S3154004A9A0000000000000000000000000000000005D -S3154004A9B0000000000000000000000000000000004D -S3154004A9C0000000000000000000000000000000003D -S3154004A9D0000000000000000000000000000000002D -S3154004A9E0000000000000000000000000000000001D -S3154004A9F0000000000000000000000000000000000D -S3154004AA0000000000000000000000000000000000FC -S3154004AA1000000000000000000000000000000000EC -S3154004AA2000000000000000000000000000000000DC -S3154004AA3000000000000000000000000000000000CC -S3154004AA4000000000000000000000000000000000BC -S3154004AA5000000000000000000000000000000000AC -S3154004AA60000000000000000000000000000000009C -S3154004AA70000000000000000000000000000000008C -S3154004AA80000000000000000000000000000000007C -S3154004AA90000000000000000000000000000000006C -S3154004AAA0000000000000000000000000000000005C -S3154004AAB0000000000000000000000000000000004C -S3154004AAC0000000000000000000000000000000003C -S3154004AAD0000000000000000000000000000000002C -S3154004AAE0000000000000000000000000000000001C -S3154004AAF0000000000000000000000000000000000C -S3154004AB0000000000000000000000000000000000FB -S3154004AB1000000000000000000000000000000000EB -S3154004AB2000000000000000000000000000000000DB -S3154004AB3000000000000000000000000000000000CB -S3154004AB4000000000000000000000000000000000BB -S3154004AB5000000000000000000000000000000000AB -S3154004AB60000000000000000000000000000000009B -S3154004AB70000000000000000000000000000000008B -S3154004AB80000000000000000000000000000000007B -S3154004AB90000000000000000000000000000000006B -S3154004ABA0000000000000000000000000000000005B -S3154004ABB0000000000000000000000000000000004B -S3154004ABC0000000000000000000000000000000003B -S3154004ABD0000000000000000000000000000000002B -S3154004ABE0000000000000000000000000000000001B -S3154004ABF0000000000000000000000000000000000B -S3154004AC0000000000000000000000000000000000FA -S3154004AC1000000000000000000000000000000000EA -S3154004AC2000000000000000000000000000000000DA -S3154004AC3000000000000000000000000000000000CA -S3154004AC4000000000000000000000000000000000BA -S3154004AC5000000000000000000000000000000000AA -S3154004AC60000000000000000000000000000000009A -S3154004AC70000000000000000000000000000000008A -S3154004AC80000000000000000000000000000000007A -S3154004AC90000000000000000000000000000000006A -S3154004ACA0000000000000000000000000000000005A -S3154004ACB0000000000000000000000000000000004A -S3154004ACC0000000000000000000000000000000003A -S3154004ACD0000000000000000000000000000000002A -S3154004ACE0000000000000000000000000000000001A -S3154004ACF0000000000000000000000000000000000A -S3154004AD0000000000000000000000000000000000F9 -S3154004AD1000000000000000000000000000000000E9 -S3154004AD2000000000000000000000000000000000D9 -S3154004AD3000000000000000000000000000000000C9 -S3154004AD4000000000000000000000000000000000B9 -S3154004AD5000000000000000000000000000000000A9 -S3154004AD600000000000000000000000000000000099 -S3154004AD700000000000000000000000000000000089 -S3154004AD800000000000000000000000000000000079 -S3154004AD900000000000000000000000000000000069 -S3154004ADA00000000000000000000000000000000059 -S3154004ADB00000000000000000000000000000000049 -S3154004ADC00000000000000000000000000000000039 -S3154004ADD00000000000000000000000000000000029 -S3154004ADE00000000000000000000000000000000019 -S3154004ADF00000000000000000000000000000000009 -S3154004AE0000000000000000000000000000000000F8 -S3154004AE1000000000000000000000000000000000E8 -S3154004AE2000000000000000000000000000000000D8 -S3154004AE3000000000000000000000000000000000C8 -S3154004AE4000000000000000000000000000000000B8 -S3154004AE5000000000000000000000000000000000A8 -S3154004AE600000000000000000000000000000000098 -S3154004AE700000000000000000000000000000000088 -S3154004AE800000000000000000000000000000000078 -S3154004AE900000000000000000000000000000000068 -S3154004AEA00000000000000000000000000000000058 -S3154004AEB00000000000000000000000000000000048 -S3154004AEC00000000000000000000000000000000038 -S3154004AED00000000000000000000000000000000028 -S3154004AEE00000000000000000000000000000000018 -S3154004AEF00000000000000000000000000000000008 -S3154004AF0000000000000000000000000000000000F7 -S3154004AF1000000000000000000000000000000000E7 -S3154004AF2000000000000000000000000000000000D7 -S3154004AF3000000000000000000000000000000000C7 -S3154004AF4000000000000000000000000000000000B7 -S3154004AF5000000000000000000000000000000000A7 -S3154004AF600000000000000000000000000000000097 -S3154004AF700000000000000000000000000000000087 -S3154004AF800000000000000000000000000000000077 -S3154004AF900000000000000000000000000000000067 -S3154004AFA00000000000000000000000000000000057 -S3154004AFB00000000000000000000000000000000047 -S3154004AFC00000000000000000000000000000000037 -S3154004AFD00000000000000000000000000000000027 -S3154004AFE00000000000000000000000000000000017 -S3154004AFF00000000000000000000000000000000007 -S3154004B00000000000000000000000000000000000F6 -S3154004B01000000000000000000000000000000000E6 -S3154004B02000000000000000000000000000000000D6 -S3154004B03000000000000000000000000000000000C6 -S3154004B04000000000000000000000000000000000B6 -S3154004B05000000000000000000000000000000000A6 -S3154004B0600000000000000000000000000000000096 -S3154004B0700000000000000000000000000000000086 -S3154004B0800000000000000000000000000000000076 -S3154004B0900000000000000000000000000000000066 -S3154004B0A00000000000000000000000000000000056 -S3154004B0B00000000000000000000000000000000046 -S3154004B0C00000000000000000000000000000000036 -S3154004B0D00000000000000000000000000000000026 -S3154004B0E00000000000000000000000000000000016 -S3154004B0F00000000000000000000000000000000006 -S3154004B10000000000000000000000000000000000F5 -S3154004B11000000000000000000000000000000000E5 -S3154004B12000000000000000000000000000000000D5 -S3154004B13000000000000000000000000000000000C5 -S3154004B14000000000000000000000000000000000B5 -S3154004B15000000000000000000000000000000000A5 -S3154004B1600000000000000000000000000000000095 -S3154004B1700000000000000000000000000000000085 -S3154004B1800000000000000000000000000000000075 -S3154004B1900000000000000000000000000000000065 -S3154004B1A00000000000000000000000000000000055 -S3154004B1B00000000000000000000000000000000045 -S3154004B1C00000000000000000000000000000000035 -S3154004B1D00000000000000000000000000000000025 -S3154004B1E00000000000000000000000000000000015 -S3154004B1F00000000000000000000000000000000005 -S3154004B20000000000000000000000000000000000F4 -S3154004B21000000000000000000000000000000000E4 -S3154004B22000000000000000000000000000000000D4 -S3154004B23000000000000000000000000000000000C4 -S3154004B24000000000000000000000000000000000B4 -S3154004B25000000000000000000000000000000000A4 -S3154004B2600000000000000000000000000000000094 -S3154004B2700000000000000000000000000000000084 -S3154004B2800000000000000000000000000000000074 -S3154004B2900000000000000000000000000000000064 -S3154004B2A00000000000000000000000000000000054 -S3154004B2B00000000000000000000000000000000044 -S3154004B2C00000000000000000000000000000000034 -S3154004B2D00000000000000000000000000000000024 -S3154004B2E00000000000000000000000000000000014 -S3154004B2F00000000000000000000000000000000004 -S3154004B30000000000000000000000000000000000F3 -S3154004B31000000000000000000000000000000000E3 -S3154004B32000000000000000000000000000000000D3 -S3154004B33000000000000000000000000000000000C3 -S3154004B34000000000000000000000000000000000B3 -S3154004B35000000000000000000000000000000000A3 -S3154004B3600000000000000000000000000000000093 -S3154004B3700000000000000000000000000000000083 -S3154004B3800000000000000000000000000000000073 -S3154004B3900000000000000000000000000000000063 -S3154004B3A00000000000000000000000000000000053 -S3154004B3B00000000000000000000000000000000043 -S3154004B3C00000000000000000000000000000000033 -S3154004B3D00000000000000000000000000000000023 -S3154004B3E00000000000000000000000000000000013 -S3154004B3F00000000000000000000000000000000003 -S3154004B40000000000000000000000000000000000F2 -S3154004B41000000000000000000000000000000000E2 -S3154004B42000000000000000000000000000000000D2 -S3154004B43000000000000000000000000000000000C2 -S3154004B44000000000000000000000000000000000B2 -S3154004B45000000000000000000000000000000000A2 -S3154004B4600000000000000000000000000000000092 -S3154004B4700000000000000000000000000000000082 -S3154004B4800000000000000000000000000000000072 -S3154004B4900000000000000000000000000000000062 -S3154004B4A00000000000000000000000000000000052 -S3154004B4B00000000000000000000000000000000042 -S3154004B4C00000000000000000000000000000000032 -S3154004B4D00000000000000000000000000000000022 -S3154004B4E00000000000000000000000000000000012 -S3154004B4F00000000000000000000000000000000002 -S3154004B50000000000000000000000000000000000F1 -S3154004B51000000000000000000000000000000000E1 -S3154004B52000000000000000000000000000000000D1 -S3154004B53000000000000000000000000000000000C1 -S3154004B54000000000000000000000000000000000B1 -S3154004B55000000000000000000000000000000000A1 -S3154004B5600000000000000000000000000000000091 -S3154004B5700000000000000000000000000000000081 -S3154004B5800000000000000000000000000000000071 -S3154004B5900000000000000000000000000000000061 -S3154004B5A00000000000000000000000000000000051 -S3154004B5B00000000000000000000000000000000041 -S3154004B5C00000000000000000000000000000000031 -S3154004B5D00000000000000000000000000000000021 -S3154004B5E00000000000000000000000000000000011 -S3154004B5F00000000000000000000000000000000001 -S3154004B60000000000000000000000000000000000F0 -S3154004B61000000000000000000000000000000000E0 -S3154004B62000000000000000000000000000000000D0 -S3154004B63000000000000000000000000000000000C0 -S3154004B64000000000000000000000000000000000B0 -S3154004B65000000000000000000000000000000000A0 -S3154004B6600000000000000000000000000000000090 -S3154004B6700000000000000000000000000000000080 -S3154004B6800000000000000000000000000000000070 -S3154004B6900000000000000000000000000000000060 -S3154004B6A00000000000000000000000000000000050 -S3154004B6B00000000000000000000000000000000040 -S3154004B6C00000000000000000000000000000000030 -S3154004B6D00000000000000000000000000000000020 -S3154004B6E00000000000000000000000000000000010 -S3154004B6F00000000000000000000000000000000000 -S3154004B70000000000000000000000000000000000EF -S3154004B71000000000000000000000000000000000DF -S3154004B72000000000000000000000000000000000CF -S3154004B73000000000000000000000000000000000BF -S3154004B74000000000000000000000000000000000AF -S3154004B750000000000000000000000000000000009F -S3154004B760000000000000000000000000000000008F -S3154004B770000000000000000000000000000000007F -S3154004B780000000000000000000000000000000006F -S3154004B790000000000000000000000000000000005F -S3154004B7A0000000000000000000000000000000004F -S3154004B7B0000000000000000000000000000000003F -S3154004B7C0000000000000000000000000000000002F -S3154004B7D0000000000000000000000000000000001F -S3154004B7E0000000000000000000000000000000000F -S3154004B7F000000000000000000000000000000000FF -S3154004B80000000000000000000000000000000000EE -S3154004B81000000000000000000000000000000000DE -S3154004B82000000000000000000000000000000000CE -S3154004B83000000000000000000000000000000000BE -S3154004B84000000000000000000000000000000000AE -S3154004B850000000000000000000000000000000009E -S3154004B860000000000000000000000000000000008E -S3154004B870000000000000000000000000000000007E -S3154004B880000000000000000000000000000000006E -S3154004B890000000000000000000000000000000005E -S3154004B8A0000000000000000000000000000000004E -S3154004B8B0000000000000000000000000000000003E -S3154004B8C0000000000000000000000000000000002E -S3154004B8D0000000000000000000000000000000001E -S3154004B8E0000000000000000000000000000000000E -S3154004B8F000000000000000000000000000000000FE -S3154004B90000000000000000000000000000000000ED -S3154004B91000000000000000000000000000000000DD -S3154004B92000000000000000000000000000000000CD -S3154004B93000000000000000000000000000000000BD -S3154004B94000000000000000000000000000000000AD -S3154004B950000000000000000000000000000000009D -S3154004B960000000000000000000000000000000008D -S3154004B970000000000000000000000000000000007D -S3154004B980000000000000000000000000000000006D -S3154004B990000000000000000000000000000000005D -S3154004B9A0000000000000000000000000000000004D -S3154004B9B0000000000000000000000000000000003D -S3154004B9C0000000000000000000000000000000002D -S3154004B9D0000000000000000000000000000000001D -S3154004B9E0000000000000000000000000000000000D -S3154004B9F000000000000000000000000000000000FD -S3154004BA0000000000000000000000000000000000EC -S3154004BA1000000000000000000000000000000000DC -S3154004BA2000000000000000000000000000000000CC -S3154004BA3000000000000000000000000000000000BC -S3154004BA4000000000000000000000000000000000AC -S3154004BA50000000000000000000000000000000009C -S3154004BA60000000000000000000000000000000008C -S3154004BA70000000000000000000000000000000007C -S3154004BA80000000000000000000000000000000006C -S3154004BA90000000000000000000000000000000005C -S3154004BAA0000000000000000000000000000000004C -S3154004BAB0000000000000000000000000000000003C -S3154004BAC0000000000000000000000000000000002C -S3154004BAD0000000000000000000000000000000001C -S3154004BAE0000000000000000000000000000000000C -S3154004BAF000000000000000000000000000000000FC -S3154004BB0000000000000000000000000000000000EB -S3154004BB1000000000000000000000000000000000DB -S3154004BB2000000000000000000000000000000000CB -S3154004BB3000000000000000000000000000000000BB -S3154004BB4000000000000000000000000000000000AB -S3154004BB50000000000000000000000000000000009B -S3154004BB60000000000000000000000000000000008B -S3154004BB70000000000000000000000000000000007B -S3154004BB80000000000000000000000000000000006B -S3154004BB90000000000000000000000000000000005B -S3154004BBA0000000000000000000000000000000004B -S3154004BBB0000000000000000000000000000000003B -S3154004BBC0000000000000000000000000000000002B -S3154004BBD0000000000000000000000000000000001B -S3154004BBE0000000000000000000000000000000000B -S3154004BBF000000000000000000000000000000000FB -S3154004BC0000000000000000000000000000000000EA -S3154004BC1000000000000000000000000000000000DA -S3154004BC2000000000000000000000000000000000CA -S3154004BC3000000000000000000000000000000000BA -S3154004BC4000000000000000000000000000000000AA -S3154004BC50000000000000000000000000000000009A -S3154004BC60000000000000000000000000000000008A -S3154004BC70000000000000000000000000000000007A -S3154004BC80000000000000000000000000000000006A -S3154004BC90000000000000000000000000000000005A -S3154004BCA0000000000000000000000000000000004A -S3154004BCB0000000000000000000000000000000003A -S3154004BCC0000000000000000000000000000000002A -S3154004BCD0000000000000000000000000000000001A -S3154004BCE0000000000000000000000000000000000A -S3154004BCF000000000000000000000000000000000FA -S3154004BD0000000000000000000000000000000000E9 -S3154004BD1000000000000000000000000000000000D9 -S3154004BD2000000000000000000000000000000000C9 -S3154004BD3000000000000000000000000000000000B9 -S3154004BD4000000000000000000000000000000000A9 -S3154004BD500000000000000000000000000000000099 -S3154004BD600000000000000000000000000000000089 -S3154004BD700000000000000000000000000000000079 -S3154004BD800000000000000000000000000000000069 -S3154004BD900000000000000000000000000000000059 -S3154004BDA00000000000000000000000000000000049 -S3154004BDB00000000000000000000000000000000039 -S3154004BDC00000000000000000000000000000000029 -S3154004BDD00000000000000000000000000000000019 -S3154004BDE00000000000000000000000000000000009 -S3154004BDF000000000000000000000000000000000F9 -S3154004BE0000000000000000000000000000000000E8 -S3154004BE1000000000000000000000000000000000D8 -S3154004BE2000000000000000000000000000000000C8 -S3154004BE3000000000000000000000000000000000B8 -S3154004BE4000000000000000000000000000000000A8 -S3154004BE500000000000000000000000000000000098 -S3154004BE600000000000000000000000000000000088 -S3154004BE700000000000000000000000000000000078 -S3154004BE800000000000000000000000000000000068 -S3154004BE900000000000000000000000000000000058 -S3154004BEA00000000000000000000000000000000048 -S3154004BEB00000000000000000000000000000000038 -S3154004BEC00000000000000000000000000000000028 -S3154004BED00000000000000000000000000000000018 -S3154004BEE00000000000000000000000000000000008 -S3154004BEF000000000000000000000000000000000F8 -S3154004BF0000000000000000000000000000000000E7 -S3154004BF1000000000000000000000000000000000D7 -S3154004BF2000000000000000000000000000000000C7 -S3154004BF3000000000000000000000000000000000B7 -S3154004BF4000000000000000000000000000000000A7 -S3154004BF500000000000000000000000000000000097 -S3154004BF600000000000000000000000000000000087 -S3154004BF700000000000000000000000000000000077 -S3154004BF800000000000000000000000000000000067 -S3154004BF900000000000000000000000000000000057 -S3154004BFA00000000000000000000000000000000047 -S3154004BFB00000000000000000000000000000000037 -S3154004BFC00000000000000000000000000000000027 -S3154004BFD00000000000000000000000000000000017 -S3154004BFE00000000000000000000000000000000007 -S3154004BFF000000000000000000000000000000000F7 -S3154004C00000000000000000000000000000000000E6 -S3154004C01000000000000000000000000000000000D6 -S3154004C02000000000000000000000000000000000C6 -S3154004C03000000000000000000000000000000000B6 -S3154004C04000000000000000000000000000000000A6 -S3154004C0500000000000000000000000000000000096 -S3154004C0600000000000000000000000000000000086 -S3154004C0700000000000000000000000000000000076 -S3154004C0800000000000000000000000000000000066 -S3154004C0900000000000000000000000000000000056 -S3154004C0A00000000000000000000000000000000046 -S3154004C0B00000000000000000000000000000000036 -S3154004C0C00000000000000000000000000000000026 -S3154004C0D00000000000000000000000000000000016 -S3154004C0E00000000000000000000000000000000006 -S3154004C0F000000000000000000000000000000000F6 -S3154004C10000000000000000000000000000000000E5 -S3154004C11000000000000000000000000000000000D5 -S3154004C12000000000000000000000000000000000C5 -S3154004C13000000000000000000000000000000000B5 -S3154004C14000000000000000000000000000000000A5 -S3154004C1500000000000000000000000000000000095 -S3154004C1600000000000000000000000000000000085 -S3154004C1700000000000000000000000000000000075 -S3154004C1800000000000000000000000000000000065 -S3154004C1900000000000000000000000000000000055 -S3154004C1A00000000000000000000000000000000045 -S3154004C1B00000000000000000000000000000000035 -S3154004C1C00000000000000000000000000000000025 -S3154004C1D00000000000000000000000000000000015 -S3154004C1E00000000000000000000000000000000005 -S3154004C1F000000000000000000000000000000000F5 -S3154004C20000000000000000000000000000000000E4 -S3154004C21000000000000000000000000000000000D4 -S3154004C22000000000000000000000000000000000C4 -S3154004C23000000000000000000000000000000000B4 -S3154004C24000000000000000000000000000000000A4 -S3154004C2500000000000000000000000000000000094 -S3154004C2600000000000000000000000000000000084 -S3154004C2700000000000000000000000000000000074 -S3154004C2800000000000000000000000000000000064 -S3154004C2900000000000000000000000000000000054 -S3154004C2A00000000000000000000000000000000044 -S3154004C2B00000000000000000000000000000000034 -S3154004C2C00000000000000000000000000000000024 -S3154004C2D00000000000000000000000000000000014 -S3154004C2E00000000000000000000000000000000004 -S3154004C2F000000000000000000000000000000000F4 -S3154004C30000000000000000000000000000000000E3 -S3154004C31000000000000000000000000000000000D3 -S3154004C32000000000000000000000000000000000C3 -S3154004C33000000000000000000000000000000000B3 -S3154004C34000000000000000000000000000000000A3 -S3154004C3500000000000000000000000000000000093 -S3154004C3600000000000000000000000000000000083 -S3154004C3700000000000000000000000000000000073 -S3154004C3800000000000000000000000000000000063 -S3154004C3900000000000000000000000000000000053 -S3154004C3A00000000000000000000000000000000043 -S3154004C3B00000000000000000000000000000000033 -S3154004C3C00000000000000000000000000000000023 -S3154004C3D00000000000000000000000000000000013 -S3154004C3E00000000000000000000000000000000003 -S3154004C3F000000000000000000000000000000000F3 -S3154004C40000000000000000000000000000000000E2 -S3154004C41000000000000000000000000000000000D2 -S3154004C42000000000000000000000000000000000C2 -S3154004C43000000000000000000000000000000000B2 -S3154004C44000000000000000000000000000000000A2 -S3154004C4500000000000000000000000000000000092 -S3154004C4600000000000000000000000000000000082 -S3154004C4700000000000000000000000000000000072 -S3154004C4800000000000000000000000000000000062 -S3154004C4900000000000000000000000000000000052 -S3154004C4A00000000000000000000000000000000042 -S3154004C4B00000000000000000000000000000000032 -S3154004C4C00000000000000000000000000000000022 -S3154004C4D00000000000000000000000000000000012 -S3154004C4E00000000000000000000000000000000002 -S3154004C4F000000000000000000000000000000000F2 -S3154004C50000000000000000000000000000000000E1 -S3154004C51000000000000000000000000000000000D1 -S3154004C52000000000000000000000000000000000C1 -S3154004C53000000000000000000000000000000000B1 -S3154004C54000000000000000000000000000000000A1 -S3154004C5500000000000000000000000000000000091 -S3154004C5600000000000000000000000000000000081 -S3154004C5700000000000000000000000000000000071 -S3154004C5800000000000000000000000000000000061 -S3154004C5900000000000000000000000000000000051 -S3154004C5A00000000000000000000000000000000041 -S3154004C5B00000000000000000000000000000000031 -S3154004C5C00000000000000000000000000000000021 -S3154004C5D00000000000000000000000000000000011 -S3154004C5E00000000000000000000000000000000001 -S3154004C5F000000000000000000000000000000000F1 -S3154004C60000000000000000000000000000000000E0 -S3154004C61000000000000000000000000000000000D0 -S3154004C62000000000000000000000000000000000C0 -S3154004C63000000000000000000000000000000000B0 -S3154004C64000000000000000000000000000000000A0 -S3154004C6500000000000000000000000000000000090 -S3154004C6600000000000000000000000000000000080 -S3154004C6700000000000000000000000000000000070 -S3154004C6800000000000000000000000000000000060 -S3154004C6900000000000000000000000000000000050 -S3154004C6A00000000000000000000000000000000040 -S3154004C6B00000000000000000000000000000000030 -S3154004C6C00000000000000000000000000000000020 -S3154004C6D00000000000000000000000000000000010 -S3154004C6E00000000000000000000000000000000000 -S3154004C6F000000000000000000000000000000000F0 -S3154004C70000000000000000000000000000000000DF -S3154004C71000000000000000000000000000000000CF -S3154004C72000000000000000000000000000000000BF -S3154004C73000000000000000000000000000000000AF -S3154004C740000000000000000000000000000000009F -S3154004C750000000000000000000000000000000008F -S3154004C760000000000000000000000000000000007F -S3154004C770000000000000000000000000000000006F -S3154004C780000000000000000000000000000000005F -S3154004C790000000000000000000000000000000004F -S3154004C7A0000000000000000000000000000000003F -S3154004C7B0000000000000000000000000000000002F -S3154004C7C0000000000000000000000000000000001F -S3154004C7D0000000000000000000000000000000000F -S3154004C7E000000000000000000000000000000000FF -S3154004C7F000000000000000000000000000000000EF -S3154004C80000000000000000000000000000000000DE -S3154004C81000000000000000000000000000000000CE -S3154004C82000000000000000000000000000000000BE -S3154004C83000000000000000000000000000000000AE -S3154004C840000000000000000000000000000000009E -S3154004C850000000000000000000000000000000008E -S3154004C860000000000000000000000000000000007E -S3154004C870000000000000000000000000000000006E -S3154004C880000000000000000000000000000000005E -S3154004C890000000000000000000000000000000004E -S3154004C8A0000000000000000000000000000000003E -S3154004C8B0000000000000000000000000000000002E -S3154004C8C0000000000000000000000000000000001E -S3154004C8D0000000000000000000000000000000000E -S3154004C8E000000000000000000000000000000000FE -S3154004C8F000000000000000000000000000000000EE -S3154004C90000000000000000000000000000000000DD -S3154004C91000000000000000000000000000000000CD -S3154004C92000000000000000000000000000000000BD -S3154004C93000000000000000000000000000000000AD -S3154004C940000000000000000000000000000000009D -S3154004C950000000000000000000000000000000008D -S3154004C960000000000000000000000000000000007D -S3154004C970000000000000000000000000000000006D -S3154004C980000000000000000000000000000000005D -S3154004C990000000000000000000000000000000004D -S3154004C9A0000000000000000000000000000000003D -S3154004C9B0000000000000000000000000000000002D -S3154004C9C0000000000000000000000000000000001D -S3154004C9D0000000000000000000000000000000000D -S3154004C9E000000000000000000000000000000000FD -S3154004C9F000000000000000000000000000000000ED -S3154004CA0000000000000000000000000000000000DC -S3154004CA1000000000000000000000000000000000CC -S3154004CA2000000000000000000000000000000000BC -S3154004CA3000000000000000000000000000000000AC -S3154004CA40000000000000000000000000000000009C -S3154004CA50000000000000000000000000000000008C -S3154004CA60000000000000000000000000000000007C -S3154004CA70000000000000000000000000000000006C -S3154004CA80000000000000000000000000000000005C -S3154004CA90000000000000000000000000000000004C -S3154004CAA0000000000000000000000000000000003C -S3154004CAB0000000000000000000000000000000002C -S3154004CAC0000000000000000000000000000000001C -S3154004CAD0000000000000000000000000000000000C -S3154004CAE000000000000000000000000000000000FC -S3154004CAF000000000000000000000000000000000EC -S3154004CB0000000000000000000000000000000000DB -S3154004CB1000000000000000000000000000000000CB -S3154004CB2000000000000000000000000000000000BB -S3154004CB3000000000000000000000000000000000AB -S3154004CB40000000000000000000000000000000009B -S3154004CB50000000000000000000000000000000008B -S3154004CB60000000000000000000000000000000007B -S3154004CB70000000000000000000000000000000006B -S3154004CB80000000000000000000000000000000005B -S3154004CB90000000000000000000000000000000004B -S3154004CBA0000000000000000000000000000000003B -S3154004CBB0000000000000000000000000000000002B -S3154004CBC0000000000000000000000000000000001B -S3154004CBD0000000000000000000000000000000000B -S3154004CBE000000000000000000000000000000000FB -S3154004CBF000000000000000000000000000000000EB -S3154004CC0000000000000000000000000000000000DA -S3154004CC1000000000000000000000000000000000CA -S3154004CC2000000000000000000000000000000000BA -S3154004CC3000000000000000000000000000000000AA -S3154004CC40000000000000000000000000000000009A -S3154004CC50000000000000000000000000000000008A -S3154004CC60000000000000000000000000000000007A -S3154004CC70000000000000000000000000000000006A -S3154004CC80000000000000000000000000000000005A -S3154004CC90000000000000000000000000000000004A -S3154004CCA0000000000000000000000000000000003A -S3154004CCB0000000000000000000000000000000002A -S3154004CCC0000000000000000000000000000000001A -S3154004CCD0000000000000000000000000000000000A -S3154004CCE000000000000000000000000000000000FA -S3154004CCF000000000000000000000000000000000EA -S3154004CD0000000000000000000000000000000000D9 -S3154004CD1000000000000000000000000000000000C9 -S3154004CD2000000000000000000000000000000000B9 -S3154004CD3000000000000000000000000000000000A9 -S3154004CD400000000000000000000000000000000099 -S3154004CD500000000000000000000000000000000089 -S3154004CD600000000000000000000000000000000079 -S3154004CD700000000000000000000000000000000069 -S3154004CD800000000000000000000000000000000059 -S3154004CD900000000000000000000000000000000049 -S3154004CDA00000000000000000000000000000000039 -S3154004CDB00000000000000000000000000000000029 -S3154004CDC00000000000000000000000000000000019 -S3154004CDD00000000000000000000000000000000009 -S3154004CDE000000000000000000000000000000000F9 -S3154004CDF000000000000000000000000000000000E9 -S3154004CE0000000000000000000000000000000000D8 -S3154004CE1000000000000000000000000000000000C8 -S3154004CE2000000000000000000000000000000000B8 -S3154004CE3000000000000000000000000000000000A8 -S3154004CE400000000000000000000000000000000098 -S3154004CE500000000000000000000000000000000088 -S3154004CE600000000000000000000000000000000078 -S3154004CE700000000000000000000000000000000068 -S3154004CE800000000000000000000000000000000058 -S3154004CE900000000000000000000000000000000048 -S3154004CEA00000000000000000000000000000000038 -S3154004CEB00000000000000000000000000000000028 -S3154004CEC00000000000000000000000000000000018 -S3154004CED00000000000000000000000000000000008 -S3154004CEE000000000000000000000000000000000F8 -S3154004CEF000000000000000000000000000000000E8 -S3154004CF0000000000000000000000000000000000D7 -S3154004CF1000000000000000000000000000000000C7 -S3154004CF2000000000000000000000000000000000B7 -S3154004CF3000000000000000000000000000000000A7 -S3154004CF400000000000000000000000000000000097 -S3154004CF500000000000000000000000000000000087 -S3154004CF600000000000000000000000000000000077 -S3154004CF700000000000000000000000000000000067 -S3154004CF800000000000000000000000000000000057 -S3154004CF900000000000000000000000000000000047 -S3154004CFA00000000000000000000000000000000037 -S3154004CFB00000000000000000000000000000000027 -S3154004CFC00000000000000000000000000000000017 -S3154004CFD00000000000000000000000000000000007 -S3154004CFE000000000000000000000000000000000F7 -S3154004CFF000000000000000000000000000000000E7 -S3154004D00000000000000000000000000000000000D6 -S3154004D01000000000000000000000000000000000C6 -S3154004D02000000000000000000000000000000000B6 -S3154004D03000000000000000000000000000000000A6 -S3154004D0400000000000000000000000000000000096 -S3154004D0500000000000000000000000000000000086 -S3154004D0600000000000000000000000000000000076 -S3154004D0700000000000000000000000000000000066 -S3154004D0800000000000000000000000000000000056 -S3154004D0900000000000000000000000000000000046 -S3154004D0A00000000000000000000000000000000036 -S3154004D0B00000000000000000000000000000000026 -S3154004D0C00000000000000000000000000000000016 -S3154004D0D00000000000000000000000000000000006 -S3154004D0E000000000000000000000000000000000F6 -S3154004D0F000000000000000000000000000000000E6 -S3154004D10000000000000000000000000000000000D5 -S3154004D11000000000000000000000000000000000C5 -S3154004D12000000000000000000000000000000000B5 -S3154004D13000000000000000000000000000000000A5 -S3154004D1400000000000000000000000000000000095 -S3154004D1500000000000000000000000000000000085 -S3154004D1600000000000000000000000000000000075 -S3154004D1700000000000000000000000000000000065 -S3154004D1800000000000000000000000000000000055 -S3154004D1900000000000000000000000000000000045 -S3154004D1A00000000000000000000000000000000035 -S3154004D1B00000000000000000000000000000000025 -S3154004D1C00000000000000000000000000000000015 -S3154004D1D00000000000000000000000000000000005 -S3154004D1E000000000000000000000000000000000F5 -S3154004D1F000000000000000000000000000000000E5 -S3154004D20000000000000000000000000000000000D4 -S3154004D21000000000000000000000000000000000C4 -S3154004D22000000000000000000000000000000000B4 -S3154004D23000000000000000000000000000000000A4 -S3154004D2400000000000000000000000000000000094 -S3154004D2500000000000000000000000000000000084 -S3154004D2600000000000000000000000000000000074 -S3154004D2700000000000000000000000000000000064 -S3154004D2800000000000000000000000000000000054 -S3154004D2900000000000000000000000000000000044 -S3154004D2A00000000000000000000000000000000034 -S3154004D2B00000000000000000000000000000000024 -S3154004D2C00000000000000000000000000000000014 -S3154004D2D00000000000000000000000000000000004 -S3154004D2E000000000000000000000000000000000F4 -S3154004D2F000000000000000000000000000000000E4 -S3154004D30000000000000000000000000000000000D3 -S3154004D31000000000000000000000000000000000C3 -S3154004D32000000000000000000000000000000000B3 -S3154004D33000000000000000000000000000000000A3 -S3154004D3400000000000000000000000000000000093 -S3154004D3500000000000000000000000000000000083 -S3154004D3600000000000000000000000000000000073 -S3154004D3700000000000000000000000000000000063 -S3154004D3800000000000000000000000000000000053 -S3154004D3900000000000000000000000000000000043 -S3154004D3A00000000000000000000000000000000033 -S3154004D3B00000000000000000000000000000000023 -S3154004D3C00000000000000000000000000000000013 -S3154004D3D00000000000000000000000000000000003 -S3154004D3E000000000000000000000000000000000F3 -S3154004D3F000000000000000000000000000000000E3 -S3154004D40000000000000000000000000000000000D2 -S3154004D41000000000000000000000000000000000C2 -S3154004D42000000000000000000000000000000000B2 -S3154004D43000000000000000000000000000000000A2 -S3154004D4400000000000000000000000000000000092 -S3154004D4500000000000000000000000000000000082 -S3154004D4600000000000000000000000000000000072 -S3154004D4700000000000000000000000000000000062 -S3154004D4800000000000000000000000000000000052 -S3154004D4900000000000000000000000000000000042 -S3154004D4A00000000000000000000000000000000032 -S3154004D4B00000000000000000000000000000000022 -S3154004D4C00000000000000000000000000000000012 -S3154004D4D00000000000000000000000000000000002 -S3154004D4E000000000000000000000000000000000F2 -S3154004D4F000000000000000000000000000000000E2 -S3154004D50000000000000000000000000000000000D1 -S3154004D51000000000000000000000000000000000C1 -S3154004D52000000000000000000000000000000000B1 -S3154004D53000000000000000000000000000000000A1 -S3154004D5400000000000000000000000000000000091 -S3154004D5500000000000000000000000000000000081 -S3154004D5600000000000000000000000000000000071 -S3154004D5700000000000000000000000000000000061 -S3154004D5800000000000000000000000000000000051 -S3154004D5900000000000000000000000000000000041 -S3154004D5A00000000000000000000000000000000031 -S3154004D5B00000000000000000000000000000000021 -S3154004D5C00000000000000000000000000000000011 -S3154004D5D00000000000000000000000000000000001 -S3154004D5E000000000000000000000000000000000F1 -S3154004D5F000000000000000000000000000000000E1 -S3154004D60000000000000000000000000000000000D0 -S3154004D61000000000000000000000000000000000C0 -S3154004D62000000000000000000000000000000000B0 -S3154004D63000000000000000000000000000000000A0 -S3154004D6400000000000000000000000000000000090 -S3154004D6500000000000000000000000000000000080 -S3154004D6600000000000000000000000000000000070 -S3154004D6700000000000000000000000000000000060 -S3154004D6800000000000000000000000000000000050 -S3154004D6900000000000000000000000000000000040 -S3154004D6A00000000000000000000000000000000030 -S3154004D6B00000000000000000000000000000000020 -S3154004D6C00000000000000000000000000000000010 -S3154004D6D00000000000000000000000000000000000 -S3154004D6E000000000000000000000000000000000F0 -S3154004D6F000000000000000000000000000000000E0 -S3154004D70000000000000000000000000000000000CF -S3154004D71000000000000000000000000000000000BF -S3154004D72000000000000000000000000000000000AF -S3154004D730000000000000000000000000000000009F -S3154004D740000000000000000000000000000000008F -S3154004D750000000000000000000000000000000007F -S3154004D760000000000000000000000000000000006F -S3154004D770000000000000000000000000000000005F -S3154004D780000000000000000000000000000000004F -S3154004D790000000000000000000000000000000003F -S3154004D7A0000000000000000000000000000000002F -S3154004D7B0000000000000000000000000000000001F -S3154004D7C0000000000000000000000000000000000F -S3154004D7D000000000000000000000000000000000FF -S3154004D7E000000000000000000000000000000000EF -S3154004D7F000000000000000000000000000000000DF -S3154004D80000000000000000000000000000000000CE -S3154004D81000000000000000000000000000000000BE -S3154004D82000000000000000000000000000000000AE -S3154004D830000000000000000000000000000000009E -S3154004D840000000000000000000000000000000008E -S3154004D850000000000000000000000000000000007E -S3154004D860000000000000000000000000000000006E -S3154004D870000000000000000000000000000000005E -S3154004D880000000000000000000000000000000004E -S3154004D890000000000000000000000000000000003E -S3154004D8A0000000000000000000000000000000002E -S3154004D8B0000000000000000000000000000000001E -S3154004D8C0000000000000000000000000000000000E -S3154004D8D000000000000000000000000000000000FE -S3154004D8E000000000000000000000000000000000EE -S3154004D8F000000000000000000000000000000000DE -S3154004D90000000000000000000000000000000000CD -S3154004D91000000000000000000000000000000000BD -S3154004D92000000000000000000000000000000000AD -S3154004D930000000000000000000000000000000009D -S3154004D940000000000000000000000000000000008D -S3154004D950000000000000000000000000000000007D -S3154004D960000000000000000000000000000000006D -S3154004D970000000000000000000000000000000005D -S3154004D980000000000000000000000000000000004D -S3154004D990000000000000000000000000000000003D -S3154004D9A0000000000000000000000000000000002D -S3154004D9B0000000000000000000000000000000001D -S3154004D9C0000000000000000000000000000000000D -S3154004D9D000000000000000000000000000000000FD -S3154004D9E000000000000000000000000000000000ED -S3154004D9F000000000000000000000000000000000DD -S3154004DA0000000000000000000000000000000000CC -S3154004DA1000000000000000000000000000000000BC -S3154004DA2000000000000000000000000000000000AC -S3154004DA30000000000000000000000000000000009C -S3154004DA40000000000000000000000000000000008C -S3154004DA50000000000000000000000000000000007C -S3154004DA60000000000000000000000000000000006C -S3154004DA70000000000000000000000000000000005C -S3154004DA80000000000000000000000000000000004C -S3154004DA90000000000000000000000000000000003C -S3154004DAA0000000000000000000000000000000002C -S3154004DAB0000000000000000000000000000000001C -S3154004DAC0000000000000000000000000000000000C -S3154004DAD000000000000000000000000000000000FC -S3154004DAE000000000000000000000000000000000EC -S3154004DAF000000000000000000000000000000000DC -S3154004DB0000000000000000000000000000000000CB -S3154004DB1000000000000000000000000000000000BB -S3154004DB2000000000000000000000000000000000AB -S3154004DB30000000000000000000000000000000009B -S3154004DB40000000000000000000000000000000008B -S3154004DB50000000000000000000000000000000007B -S3154004DB60000000000000000000000000000000006B -S3154004DB70000000000000000000000000000000005B -S3154004DB80000000000000000000000000000000004B -S3154004DB90000000000000000000000000000000003B -S3154004DBA0000000000000000000000000000000002B -S3154004DBB0000000000000000000000000000000001B -S3154004DBC0000000000000000000000000000000000B -S3154004DBD000000000000000000000000000000000FB -S3154004DBE000000000000000000000000000000000EB -S3154004DBF000000000000000000000000000000000DB -S3154004DC0000000000000000000000000000000000CA -S3154004DC1000000000000000000000000000000000BA -S3154004DC2000000000000000000000000000000000AA -S3154004DC30000000000000000000000000000000009A -S3154004DC40000000000000000000000000000000008A -S3154004DC50000000000000000000000000000000007A -S3154004DC60000000000000000000000000000000006A -S3154004DC70000000000000000000000000000000005A -S3154004DC80000000000000000000000000000000004A -S3154004DC90000000000000000000000000000000003A -S3154004DCA0000000000000000000000000000000002A -S3154004DCB0000000000000000000000000000000001A -S3154004DCC0000000000000000000000000000000000A -S3154004DCD000000000000000000000000000000000FA -S3154004DCE000000000000000000000000000000000EA -S3154004DCF000000000000000000000000000000000DA -S3154004DD0000000000000000000000000000000000C9 -S3154004DD1000000000000000000000000000000000B9 -S3154004DD2000000000000000000000000000000000A9 -S3154004DD300000000000000000000000000000000099 -S3154004DD400000000000000000000000000000000089 -S3154004DD500000000000000000000000000000000079 -S3154004DD600000000000000000000000000000000069 -S3154004DD700000000000000000000000000000000059 -S3154004DD800000000000000000000000000000000049 -S3154004DD900000000000000000000000000000000039 -S3154004DDA00000000000000000000000000000000029 -S3154004DDB00000000000000000000000000000000019 -S3154004DDC00000000000000000000000000000000009 -S3154004DDD000000000000000000000000000000000F9 -S3154004DDE000000000000000000000000000000000E9 -S3154004DDF000000000000000000000000000000000D9 -S3154004DE0000000000000000000000000000000000C8 -S3154004DE1000000000000000000000000000000000B8 -S3154004DE2000000000000000000000000000000000A8 -S3154004DE300000000000000000000000000000000098 -S3154004DE400000000000000000000000000000000088 -S3154004DE500000000000000000000000000000000078 -S3154004DE600000000000000000000000000000000068 -S3154004DE700000000000000000000000000000000058 -S3154004DE800000000000000000000000000000000048 -S3154004DE900000000000000000000000000000000038 -S3154004DEA00000000000000000000000000000000028 -S3154004DEB00000000000000000000000000000000018 -S3154004DEC00000000000000000000000000000000008 -S3154004DED000000000000000000000000000000000F8 -S3154004DEE000000000000000000000000000000000E8 -S3154004DEF000000000000000000000000000000000D8 -S3154004DF0000000000000000000000000000000000C7 -S3154004DF1000000000000000000000000000000000B7 -S3154004DF2000000000000000000000000000000000A7 -S3154004DF300000000000000000000000000000000097 -S3154004DF400000000000000000000000000000000087 -S3154004DF500000000000000000000000000000000077 -S3154004DF600000000000000000000000000000000067 -S3154004DF700000000000000000000000000000000057 -S3154004DF800000000000000000000000000000000047 -S3154004DF900000000000000000000000000000000037 -S3154004DFA00000000000000000000000000000000027 -S3154004DFB00000000000000000000000000000000017 -S3154004DFC00000000000000000000000000000000007 -S3154004DFD000000000000000000000000000000000F7 -S3154004DFE000000000000000000000000000000000E7 -S3154004DFF000000000000000000000000000000000D7 -S3154004E00000000000000000000000000000000000C6 -S3154004E01000000000000000000000000000000000B6 -S3154004E02000000000000000000000000000000000A6 -S3154004E0300000000000000000000000000000000096 -S3154004E0400000000000000000000000000000000086 -S3154004E0500000000000000000000000000000000076 -S3154004E0600000000000000000000000000000000066 -S3154004E0700000000000000000000000000000000056 -S3154004E0800000000000000000000000000000000046 -S3154004E0900000000000000000000000000000000036 -S3154004E0A00000000000000000000000000000000026 -S3154004E0B00000000000000000000000000000000016 -S3154004E0C00000000000000000000000000000000006 -S3154004E0D000000000000000000000000000000000F6 -S3154004E0E000000000000000000000000000000000E6 -S3154004E0F000000000000000000000000000000000D6 -S3154004E10000000000000000000000000000000000C5 -S3154004E11000000000000000000000000000000000B5 -S3154004E12000000000000000000000000000000000A5 -S3154004E1300000000000000000000000000000000095 -S3154004E1400000000000000000000000000000000085 -S3154004E1500000000000000000000000000000000075 -S3154004E1600000000000000000000000000000000065 -S3154004E1700000000000000000000000000000000055 -S3154004E1800000000000000000000000000000000045 -S3154004E1900000000000000000000000000000000035 -S3154004E1A00000000000000000000000000000000025 -S3154004E1B00000000000000000000000000000000015 -S3154004E1C00000000000000000000000000000000005 -S3154004E1D000000000000000000000000000000000F5 -S3154004E1E000000000000000000000000000000000E5 -S3154004E1F000000000000000000000000000000000D5 -S3154004E20000000000000000000000000000000000C4 -S3154004E21000000000000000000000000000000000B4 -S3154004E22000000000000000000000000000000000A4 -S3154004E2300000000000000000000000000000000094 -S3154004E2400000000000000000000000000000000084 -S3154004E2500000000000000000000000000000000074 -S3154004E2600000000000000000000000000000000064 -S3154004E2700000000000000000000000000000000054 -S3154004E2800000000000000000000000000000000044 -S3154004E2900000000000000000000000000000000034 -S3154004E2A00000000000000000000000000000000024 -S3154004E2B00000000000000000000000000000000014 -S3154004E2C00000000000000000000000000000000004 -S3154004E2D000000000000000000000000000000000F4 -S3154004E2E000000000000000000000000000000000E4 -S3154004E2F000000000000000000000000000000000D4 -S3154004E30000000000000000000000000000000000C3 -S3154004E31000000000000000000000000000000000B3 -S3154004E32000000000000000000000000000000000A3 -S3154004E3300000000000000000000000000000000093 -S3154004E3400000000000000000000000000000000083 -S3154004E3500000000000000000000000000000000073 -S3154004E3600000000000000000000000000000000063 -S3154004E3700000000000000000000000000000000053 -S3154004E3800000000000000000000000000000000043 -S3154004E3900000000000000000000000000000000033 -S3154004E3A00000000000000000000000000000000023 -S3154004E3B00000000000000000000000000000000013 -S3154004E3C00000000000000000000000000000000003 -S3154004E3D000000000000000000000000000000000F3 -S3154004E3E000000000000000000000000000000000E3 -S3154004E3F000000000000000000000000000000000D3 -S3154004E40000000000000000000000000000000000C2 -S3154004E41000000000000000000000000000000000B2 -S3154004E42000000000000000000000000000000000A2 -S3154004E4300000000000000000000000000000000092 -S3154004E4400000000000000000000000000000000082 -S3154004E4500000000000000000000000000000000072 -S3154004E4600000000000000000000000000000000062 -S3154004E4700000000000000000000000000000000052 -S3154004E4800000000000000000000000000000000042 -S3154004E4900000000000000000000000000000000032 -S3154004E4A00000000000000000000000000000000022 -S3154004E4B00000000000000000000000000000000012 -S3154004E4C00000000000000000000000000000000002 -S3154004E4D000000000000000000000000000000000F2 -S3154004E4E000000000000000000000000000000000E2 -S3154004E4F000000000000000000000000000000000D2 -S3154004E50000000000000000000000000000000000C1 -S3154004E51000000000000000000000000000000000B1 -S3154004E52000000000000000000000000000000000A1 -S3154004E5300000000000000000000000000000000091 -S3154004E5400000000000000000000000000000000081 -S3154004E5500000000000000000000000000000000071 -S3154004E5600000000000000000000000000000000061 -S3154004E5700000000000000000000000000000000051 -S3154004E5800000000000000000000000000000000041 -S3154004E5900000000000000000000000000000000031 -S3154004E5A00000000000000000000000000000000021 -S3154004E5B00000000000000000000000000000000011 -S3154004E5C00000000000000000000000000000000001 -S3154004E5D000000000000000000000000000000000F1 -S3154004E5E000000000000000000000000000000000E1 -S3154004E5F000000000000000000000000000000000D1 -S3154004E60000000000000000000000000000000000C0 -S3154004E61000000000000000000000000000000000B0 -S3154004E62000000000000000000000000000000000A0 -S3154004E6300000000000000000000000000000000090 -S3154004E6400000000000000000000000000000000080 -S3154004E6500000000000000000000000000000000070 -S3154004E6600000000000000000000000000000000060 -S3154004E6700000000000000000000000000000000050 -S3154004E6800000000000000000000000000000000040 -S3154004E6900000000000000000000000000000000030 -S3154004E6A00000000000000000000000000000000020 -S3154004E6B00000000000000000000000000000000010 -S3154004E6C00000000000000000000000000000000000 -S3154004E6D000000000000000000000000000000000F0 -S3154004E6E000000000000000000000000000000000E0 -S3154004E6F000000000000000000000000000000000D0 -S3154004E70000000000000000000000000000000000BF -S3154004E71000000000000000000000000000000000AF -S3154004E720000000000000000000000000000000009F -S3154004E730000000000000000000000000000000008F -S3154004E740000000000000000000000000000000007F -S3154004E750000000000000000000000000000000006F -S3154004E760000000000000000000000000000000005F -S3154004E770000000000000000000000000000000004F -S3154004E780000000000000000000000000000000003F -S3154004E790000000000000000000000000000000002F -S3154004E7A0000000000000000000000000000000001F -S3154004E7B0000000000000000000000000000000000F -S3154004E7C000000000000000000000000000000000FF -S3154004E7D000000000000000000000000000000000EF -S3154004E7E000000000000000000000000000000000DF -S3154004E7F000000000000000000000000000000000CF -S3154004E80000000000000000000000000000000000BE -S3154004E81000000000000000000000000000000000AE -S3154004E820000000000000000000000000000000009E -S3154004E830000000000000000000000000000000008E -S3154004E840000000000000000000000000000000007E -S3154004E850000000000000000000000000000000006E -S3154004E860000000000000000000000000000000005E -S3154004E870000000000000000000000000000000004E -S3154004E880000000000000000000000000000000003E -S3154004E890000000000000000000000000000000002E -S3154004E8A0000000000000000000000000000000001E -S3154004E8B0000000000000000000000000000000000E -S3154004E8C000000000000000000000000000000000FE -S3154004E8D000000000000000000000000000000000EE -S3154004E8E000000000000000000000000000000000DE -S3154004E8F000000000000000000000000000000000CE -S3154004E90000000000000000000000000000000000BD -S3154004E91000000000000000000000000000000000AD -S3154004E920000000000000000000000000000000009D -S3154004E930000000000000000000000000000000008D -S3154004E940000000000000000000000000000000007D -S3154004E950000000000000000000000000000000006D -S3154004E960000000000000000000000000000000005D -S3154004E970000000000000000000000000000000004D -S3154004E980000000000000000000000000000000003D -S3154004E990000000000000000000000000000000002D -S3154004E9A0000000000000000000000000000000001D -S3154004E9B0000000000000000000000000000000000D -S3154004E9C000000000000000000000000000000000FD -S3154004E9D000000000000000000000000000000000ED -S3154004E9E000000000000000000000000000000000DD -S3154004E9F000000000000000000000000000000000CD -S3154004EA0000000000000000000000000000000000BC -S3154004EA1000000000000000000000000000000000AC -S3154004EA20000000000000000000000000000000009C -S3154004EA30000000000000000000000000000000008C -S3154004EA40000000000000000000000000000000007C -S3154004EA50000000000000000000000000000000006C -S3154004EA60000000000000000000000000000000005C -S3154004EA70000000000000000000000000000000004C -S3154004EA80000000000000000000000000000000003C -S3154004EA90000000000000000000000000000000002C -S3154004EAA0000000000000000000000000000000001C -S3154004EAB0000000000000000000000000000000000C -S3154004EAC000000000000000000000000000000000FC -S3154004EAD000000000000000000000000000000000EC -S3154004EAE000000000000000000000000000000000DC -S3154004EAF000000000000000000000000000000000CC -S3154004EB0000000000000000000000000000000000BB -S3154004EB1000000000000000000000000000000000AB -S3154004EB20000000000000000000000000000000009B -S3154004EB30000000000000000000000000000000008B -S3154004EB40000000000000000000000000000000007B -S3154004EB50000000000000000000000000000000006B -S3154004EB60000000000000000000000000000000005B -S3154004EB70000000000000000000000000000000004B -S3154004EB80000000000000000000000000000000003B -S3154004EB90000000000000000000000000000000002B -S3154004EBA0000000000000000000000000000000001B -S3154004EBB0000000000000000000000000000000000B -S3154004EBC000000000000000000000000000000000FB -S3154004EBD000000000000000000000000000000000EB -S3154004EBE000000000000000000000000000000000DB -S3154004EBF000000000000000000000000000000000CB -S3154004EC0000000000000000000000000000000000BA -S3154004EC1000000000000000000000000000000000AA -S3154004EC20000000000000000000000000000000009A -S3154004EC30000000000000000000000000000000008A -S3154004EC40000000000000000000000000000000007A -S3154004EC50000000000000000000000000000000006A -S3154004EC60000000000000000000000000000000005A -S3154004EC70000000000000000000000000000000004A -S3154004EC80000000000000000000000000000000003A -S3154004EC90000000000000000000000000000000002A -S3154004ECA0000000000000000000000000000000001A -S3154004ECB0000000000000000000000000000000000A -S3154004ECC000000000000000000000000000000000FA -S3154004ECD000000000000000000000000000000000EA -S3154004ECE000000000000000000000000000000000DA -S3154004ECF000000000000000000000000000000000CA -S3154004ED0000000000000000000000000000000000B9 -S3154004ED1000000000000000000000000000000000A9 -S3154004ED200000000000000000000000000000000099 -S3154004ED300000000000000000000000000000000089 -S3154004ED400000000000000000000000000000000079 -S3154004ED500000000000000000000000000000000069 -S3154004ED600000000000000000000000000000000059 -S3154004ED700000000000000000000000000000000049 -S3154004ED800000000000000000000000000000000039 -S3154004ED900000000000000000000000000000000029 -S3154004EDA00000000000000000000000000000000019 -S3154004EDB00000000000000000000000000000000009 -S3154004EDC000000000000000000000000000000000F9 -S3154004EDD000000000000000000000000000000000E9 -S3154004EDE000000000000000000000000000000000D9 -S3154004EDF000000000000000000000000000000000C9 -S3154004EE0000000000000000000000000000000000B8 -S3154004EE1000000000000000000000000000000000A8 -S3154004EE200000000000000000000000000000000098 -S3154004EE300000000000000000000000000000000088 -S3154004EE400000000000000000000000000000000078 -S3154004EE500000000000000000000000000000000068 -S3154004EE600000000000000000000000000000000058 -S3154004EE700000000000000000000000000000000048 -S3154004EE800000000000000000000000000000000038 -S3154004EE900000000000000000000000000000000028 -S3154004EEA00000000000000000000000000000000018 -S3154004EEB00000000000000000000000000000000008 -S3154004EEC000000000000000000000000000000000F8 -S3154004EED000000000000000000000000000000000E8 -S3154004EEE000000000000000000000000000000000D8 -S3154004EEF000000000000000000000000000000000C8 -S3154004EF0000000000000000000000000000000000B7 -S3154004EF1000000000000000000000000000000000A7 -S3154004EF200000000000000000000000000000000097 -S3154004EF300000000000000000000000000000000087 -S3154004EF400000000000000000000000000000000077 -S3154004EF500000000000000000000000000000000067 -S3154004EF600000000000000000000000000000000057 -S3154004EF700000000000000000000000000000000047 -S3154004EF800000000000000000000000000000000037 -S3154004EF900000000000000000000000000000000027 -S3154004EFA00000000000000000000000000000000017 -S3154004EFB00000000000000000000000000000000007 -S3154004EFC000000000000000000000000000000000F7 -S3154004EFD000000000000000000000000000000000E7 -S3154004EFE000000000000000000000000000000000D7 -S3154004EFF000000000000000000000000000000000C7 -S3154004F00000000000000000000000000000000000B6 -S3154004F01000000000000000000000000000000000A6 -S3154004F0200000000000000000000000000000000096 -S3154004F0300000000000000000000000000000000086 -S3154004F0400000000000000000000000000000000076 -S3154004F0500000000000000000000000000000000066 -S3154004F0600000000000000000000000000000000056 -S3154004F0700000000000000000000000000000000046 -S3154004F0800000000000000000000000000000000036 -S3154004F0900000000000000000000000000000000026 -S3154004F0A00000000000000000000000000000000016 -S3154004F0B00000000000000000000000000000000006 -S3154004F0C000000000000000000000000000000000F6 -S3154004F0D000000000000000000000000000000000E6 -S3154004F0E000000000000000000000000000000000D6 -S3154004F0F000000000000000000000000000000000C6 -S3154004F10000000000000000000000000000000000B5 -S3154004F11000000000000000000000000000000000A5 -S3154004F1200000000000000000000000000000000095 -S3154004F1300000000000000000000000000000000085 -S3154004F1400000000000000000000000000000000075 -S3154004F1500000000000000000000000000000000065 -S3154004F1600000000000000000000000000000000055 -S3154004F1700000000000000000000000000000000045 -S3154004F1800000000000000000000000000000000035 -S3154004F1900000000000000000000000000000000025 -S3154004F1A00000000000000000000000000000000015 -S3154004F1B00000000000000000000000000000000005 -S3154004F1C000000000000000000000000000000000F5 -S3154004F1D000000000000000000000000000000000E5 -S3154004F1E000000000000000000000000000000000D5 -S3154004F1F000000000000000000000000000000000C5 -S3154004F20000000000000000000000000000000000B4 -S3154004F21000000000000000000000000000000000A4 -S3154004F2200000000000000000000000000000000094 -S3154004F2300000000000000000000000000000000084 -S3154004F2400000000000000000000000000000000074 -S3154004F2500000000000000000000000000000000064 -S3154004F2600000000000000000000000000000000054 -S3154004F2700000000000000000000000000000000044 -S3154004F2800000000000000000000000000000000034 -S3154004F2900000000000000000000000000000000024 -S3154004F2A00000000000000000000000000000000014 -S3154004F2B00000000000000000000000000000000004 -S3154004F2C000000000000000000000000000000000F4 -S3154004F2D000000000000000000000000000000000E4 -S3154004F2E000000000000000000000000000000000D4 -S3154004F2F000000000000000000000000000000000C4 -S3154004F30000000000000000000000000000000000B3 -S3154004F31000000000000000000000000000000000A3 -S3154004F3200000000000000000000000000000000093 -S3154004F3300000000000000000000000000000000083 -S3154004F3400000000000000000000000000000000073 -S3154004F3500000000000000000000000000000000063 -S3154004F3600000000000000000000000000000000053 -S3154004F3700000000000000000000000000000000043 -S3154004F3800000000000000000000000000000000033 -S3154004F3900000000000000000000000000000000023 -S3154004F3A00000000000000000000000000000000013 -S3154004F3B00000000000000000000000000000000003 -S3154004F3C000000000000000000000000000000000F3 -S3154004F3D000000000000000000000000000000000E3 -S3154004F3E000000000000000000000000000000000D3 -S3154004F3F000000000000000000000000000000000C3 -S3154004F40000000000000000000000000000000000B2 -S3154004F41000000000000000000000000000000000A2 -S3154004F4200000000000000000000000000000000092 -S3154004F4300000000000000000000000000000000082 -S3154004F4400000000000000000000000000000000072 -S3154004F4500000000000000000000000000000000062 -S3154004F4600000000000000000000000000000000052 -S3154004F4700000000000000000000000000000000042 -S3154004F4800000000000000000000000000000000032 -S3154004F4900000000000000000000000000000000022 -S3154004F4A00000000000000000000000000000000012 -S3154004F4B00000000000000000000000000000000002 -S3154004F4C000000000000000000000000000000000F2 -S3154004F4D000000000000000000000000000000000E2 -S3154004F4E000000000000000000000000000000000D2 -S3154004F4F000000000000000000000000000000000C2 -S3154004F50000000000000000000000000000000000B1 -S3154004F51000000000000000000000000000000000A1 -S3154004F5200000000000000000000000000000000091 -S3154004F5300000000000000000000000000000000081 -S3154004F5400000000000000000000000000000000071 -S3154004F5500000000000000000000000000000000061 -S3154004F5600000000000000000000000000000000051 -S3154004F5700000000000000000000000000000000041 -S3154004F5800000000000000000000000000000000031 -S3154004F5900000000000000000000000000000000021 -S3154004F5A00000000000000000000000000000000011 -S3154004F5B00000000000000000000000000000000001 -S3154004F5C000000000000000000000000000000000F1 -S3154004F5D000000000000000000000000000000000E1 -S3154004F5E000000000000000000000000000000000D1 -S3154004F5F000000000000000000000000000000000C1 -S3154004F60000000000000000000000000000000000B0 -S3154004F61000000000000000000000000000000000A0 -S3154004F6200000000000000000000000000000000090 -S3154004F6300000000000000000000000000000000080 -S3154004F6400000000000000000000000000000000070 -S3154004F6500000000000000000000000000000000060 -S3154004F6600000000000000000000000000000000050 -S3154004F6700000000000000000000000000000000040 -S3154004F6800000000000000000000000000000000030 -S3154004F6900000000000000000000000000000000020 -S3154004F6A00000000000000000000000000000000010 -S3154004F6B00000000000000000000000000000000000 -S3154004F6C000000000000000000000000000000000F0 -S3154004F6D000000000000000000000000000000000E0 -S3154004F6E000000000000000000000000000000000D0 -S3154004F6F000000000000000000000000000000000C0 -S3154004F70000000000000000000000000000000000AF -S3154004F710000000000000000000000000000000009F -S3154004F720000000000000000000000000000000008F -S3154004F730000000000000000000000000000000007F -S3154004F740000000000000000000000000000000006F -S3154004F750000000000000000000000000000000005F -S3154004F760000000000000000000000000000000004F -S3154004F770000000000000000000000000000000003F -S3154004F780000000000000000000000000000000002F -S3154004F790000000000000000000000000000000001F -S3154004F7A0000000000000000000000000000000000F -S3154004F7B000000000000000000000000000000000FF -S3154004F7C000000000000000000000000000000000EF -S3154004F7D000000000000000000000000000000000DF -S3154004F7E000000000000000000000000000000000CF -S3154004F7F000000000000000000000000000000000BF -S3154004F80000000000000000000000000000000000AE -S3154004F810000000000000000000000000000000009E -S3154004F820000000000000000000000000000000008E -S3154004F830000000000000000000000000000000007E -S3154004F840000000000000000000000000000000006E -S3154004F850000000000000000000000000000000005E -S3154004F860000000000000000000000000000000004E -S3154004F870000000000000000000000000000000003E -S3154004F880000000000000000000000000000000002E -S3154004F890000000000000000000000000000000001E -S3154004F8A0000000000000000000000000000000000E -S3154004F8B000000000000000000000000000000000FE -S3154004F8C000000000000000000000000000000000EE -S3154004F8D000000000000000000000000000000000DE -S3154004F8E000000000000000000000000000000000CE -S3154004F8F000000000000000000000000000000000BE -S3154004F90000000000000000000000000000000000AD -S3154004F910000000000000000000000000000000009D -S3154004F920000000000000000000000000000000008D -S3154004F930000000000000000000000000000000007D -S3154004F940000000000000000000000000000000006D -S3154004F950000000000000000000000000000000005D -S3154004F960000000000000000000000000000000004D -S3154004F970000000000000000000000000000000003D -S3154004F980000000000000000000000000000000002D -S3154004F990000000000000000000000000000000001D -S3154004F9A0000000000000000000000000000000000D -S3154004F9B000000000000000000000000000000000FD -S3154004F9C000000000000000000000000000000000ED -S3154004F9D000000000000000000000000000000000DD -S3154004F9E000000000000000000000000000000000CD -S3154004F9F000000000000000000000000000000000BD -S3154004FA0000000000000000000000000000000000AC -S3154004FA10000000000000000000000000000000009C -S3154004FA20000000000000000000000000000000008C -S3154004FA30000000000000000000000000000000007C -S3154004FA40000000000000000000000000000000006C -S3154004FA50000000000000000000000000000000005C -S3154004FA60000000000000000000000000000000004C -S3154004FA70000000000000000000000000000000003C -S3154004FA80000000000000000000000000000000002C -S3154004FA90000000000000000000000000000000001C -S3154004FAA0000000000000000000000000000000000C -S3154004FAB000000000000000000000000000000000FC -S3154004FAC000000000000000000000000000000000EC -S3154004FAD000000000000000000000000000000000DC -S3154004FAE000000000000000000000000000000000CC -S3154004FAF000000000000000000000000000000000BC -S3154004FB0000000000000000000000000000000000AB -S3154004FB10000000000000000000000000000000009B -S3154004FB20000000000000000000000000000000008B -S3154004FB30000000000000000000000000000000007B -S3154004FB40000000000000000000000000000000006B -S3154004FB50000000000000000000000000000000005B -S3154004FB60000000000000000000000000000000004B -S3154004FB70000000000000000000000000000000003B -S3154004FB80000000000000000000000000000000002B -S3154004FB90000000000000000000000000000000001B -S3154004FBA0000000000000000000000000000000000B -S3154004FBB000000000000000000000000000000000FB -S3154004FBC000000000000000000000000000000000EB -S3154004FBD000000000000000000000000000000000DB -S3154004FBE000000000000000000000000000000000CB -S3154004FBF000000000000000000000000000000000BB -S3154004FC0000000000000000000000000000000000AA -S3154004FC10000000000000000000000000000000009A -S3154004FC20000000000000000000000000000000008A -S3154004FC30000000000000000000000000000000007A -S3154004FC40000000000000000000000000000000006A -S3154004FC50000000000000000000000000000000005A -S3154004FC60000000000000000000000000000000004A -S3154004FC70000000000000000000000000000000003A -S3154004FC80000000000000000000000000000000002A -S3154004FC90000000000000000000000000000000001A -S3154004FCA0000000000000000000000000000000000A -S3154004FCB000000000000000000000000000000000FA -S3154004FCC000000000000000000000000000000000EA -S3154004FCD000000000000000000000000000000000DA -S3154004FCE000000000000000000000000000000000CA -S3154004FCF000000000000000000000000000000000BA -S3154004FD0000000000000000000000000000000000A9 -S3154004FD100000000000000000000000000000000099 -S3154004FD200000000000000000000000000000000089 -S3154004FD300000000000000000000000000000000079 -S3154004FD400000000000000000000000000000000069 -S3154004FD500000000000000000000000000000000059 -S3154004FD600000000000000000000000000000000049 -S3154004FD700000000000000000000000000000000039 -S3154004FD800000000000000000000000000000000029 -S3154004FD900000000000000000000000000000000019 -S3154004FDA00000000000000000000000000000000009 -S3154004FDB000000000000000000000000000000000F9 -S3154004FDC000000000000000000000000000000000E9 -S3154004FDD000000000000000000000000000000000D9 -S3154004FDE000000000000000000000000000000000C9 -S3154004FDF000000000000000000000000000000000B9 -S3154004FE0000000000000000000000000000000000A8 -S3154004FE100000000000000000000000000000000098 -S3154004FE200000000000000000000000000000000088 -S3154004FE300000000000000000000000000000000078 -S3154004FE400000000000000000000000000000000068 -S3154004FE500000000000000000000000000000000058 -S3154004FE600000000000000000000000000000000048 -S3154004FE700000000000000000000000000000000038 -S3154004FE800000000000000000000000000000000028 -S3154004FE900000000000000000000000000000000018 -S3154004FEA00000000000000000000000000000000008 -S3154004FEB000000000000000000000000000000000F8 -S3154004FEC000000000000000000000000000000000E8 -S3154004FED000000000000000000000000000000000D8 -S3154004FEE000000000000000000000000000000000C8 -S3154004FEF000000000000000000000000000000000B8 -S3154004FF0000000000000000000000000000000000A7 -S3154004FF100000000000000000000000000000000097 -S3154004FF200000000000000000000000000000000087 -S3154004FF300000000000000000000000000000000077 -S3154004FF400000000000000000000000000000000067 -S3154004FF500000000000000000000000000000000057 -S3154004FF600000000000000000000000000000000047 -S3154004FF700000000000000000000000000000000037 -S3154004FF800000000000000000000000000000000027 -S3154004FF900000000000000000000000000000000017 -S3154004FFA00000000000000000000000000000000007 -S3154004FFB000000000000000000000000000000000F7 -S3154004FFC000000000000000000000000000000000E7 -S3154004FFD000000000000000000000000000000000D7 -S3154004FFE000000000000000000000000000000000C7 -S3154004FFF000000000000000000000000000000000B7 -S3154005000000000002000000030000000000000000A0 -S315400500100000000100000000FFFFFFFE0000000396 -S3154005002055555554FFFFFFFE0000000355555554E1 -S31540050030700FFFFE00000007100249240000000073 -S315400500400000000000000000000000020000000360 -S315400500500000000000000003FFFFFFFEFFFFFFFF5B -S3154005006000000002FFFFFFFD000000000000000049 -S31540050070000000010000000000000000FFFFFFFF38 -S315400500800000000000000001FFFFFFFFFFFFFFFF2C -S31540050090FFFFFFFF00000001FFFFFFFFFFFFFFFE21 -S315400500A00000000300000000FFFFFFFEFFFFFFFD0D -S315400500B000000000000000090000000700000001E4 -S315400500C0FFFFFFF700000002FFFFFFFCFFFFFFF801 -S315400500D000000002FFFFFFFCFFFFFFF8FFFFFFFCEC -S315400500E00000000200000008FFFFFFFCFFFFFFFEC7 -S315400500F0FFFFFFF8FFFFFFF800000001FFFFFFF8D5 -S31540050100FFFFFFF7000000000000000B00000002A3 -S31540050110000000050000002F000000020000001747 -S3154005012000003039000002A700000012FFFF076CEF -S315400501300000004DFFFFFCC600003039FFFFFD59AA -S31540050140FFFFFFEEFFFF076CFFFFFFB30000033A1B -S3154005015000000091FFFFE84100000000FFFFFD841D -S31540050160FFFED02F000000000000F6FA006E498120 -S315400501700000000000000000000000000000000034 -S31540050180FFFFF0000000000200000003000000062B -S3154005019000000002FFFFFFFDFFFFFFFA0000000021 -S315400501A0000000010000000000000000FFFFFFFF07 -S315400501B00000000000000001FFFFFFFFFFFFFFFFFB -S315400501C0FFFFFFFF00000001FFFFFFFFFFFFFFFEF0 -S315400501D000000003FFFFFFFAFFFFFFFEFFFFFFFDE5 -S315400501E000000006000000000000000000000009B5 -S315400501F000000000000000000000000000000000B4 -S31540050200000000000000000100000002000000039D -S315400502100000000000000000000000000000000093 -S31540050220000000004005050C400505D8400506A41C -S315400502300000000000000000000000000000000073 -S315400502400000000000000000000000000000000063 -S31540050250000000004001B5900000000000000000CD -S315400502600000000000000000000000000000000043 -S315400502700000000000000000000000000000000033 -S315400502800000000000000000000000000000000023 -S315400502900000000000000000000000000000000013 -S315400502A00000000000000000000000000000000003 -S315400502B000000000000000000000000000000000F3 -S315400502C000000000000000000000000000000001E2 -S315400502D0330EABCD1234E66DDEEC0005000B0000A7 -S315400502E000000000000000000000000000000000C3 -S315400502F000000000000000000000000000000000B3 -S3154005030000000000000000000000000000000000A2 -S315400503100000000000000000000000000000000092 -S315400503200000000000000000000000000000000082 -S315400503300000000000000000000000000000000072 -S315400503400000000000000000000000000000000062 -S315400503500000000000000000000000000000000052 -S315400503600000000000000000000000000000000042 -S315400503700000000000000000000000000000000032 -S315400503800000000000000000000000000000000022 -S315400503900000000000000000000000000000000012 -S315400503A00000000000000000000000000000000002 -S315400503B000000000000000000000000000000000F2 -S315400503C000000000000000000000000000000000E2 -S315400503D000000000000000000000000000000000D2 -S315400503E000000000000000000000000000000000C2 -S315400503F000000000000000000000000000000000B2 -S3154005040000000000000000000000000000000000A1 -S315400504100000000000000000000000000000000091 -S315400504200000000000000000000000000000000081 -S315400504300000000000000000000000000000000071 -S315400504400000000000000000000000000000000061 -S315400504500000000000000000000000000000000051 -S315400504600000000000000000000000000000000041 -S315400504700000000000000000000000000000000031 -S315400504800000000000000000000000000000000021 -S315400504900000000000000000000000000000000011 -S315400504A00000000000000000000000000000000001 -S315400504B000000000000000000000000000000000F1 -S315400504C000000000000000000000000000000000E1 -S315400504D000000000000000000000000000000000D1 -S315400504E000000000000000000000000000000000C1 -S315400504F000000000000000000000000000000000B1 -S3154005050000000000000000000000000000000000A0 -S315400505100000000000000000000000000000000090 -S315400505200000000000000000000000000000000080 -S315400505300000000000000000000000000000000070 -S315400505400000000000000000000000000000000060 -S315400505500000000000000000000000000000000050 -S315400505600000000000000000000000000000000040 -S315400505700000000000000000000000000000000030 -S315400505800000000000000000000000000000000020 -S315400505900000000000000000000000000000000010 -S315400505A00000000000000000000000000000000000 -S315400505B000000000000000000000000000000000F0 -S315400505C000000000000000000000000000000000E0 -S315400505D000000000000000000000000000000000D0 -S315400505E000000000000000000000000000000000C0 -S315400505F000000000000000000000000000000000B0 -S31540050600000000000000000000000000000000009F -S31540050610000000000000000000000000000000008F -S31540050620000000000000000000000000000000007F -S31540050630000000000000000000000000000000006F -S31540050640000000000000000000000000000000005F -S31540050650000000000000000000000000000000004F -S31540050660000000000000000000000000000000003F -S31540050670000000000000000000000000000000002F -S31540050680000000000000000000000000000000001F -S31540050690000000000000000000000000000000000F -S315400506A000000000000000000000000000000000FF -S315400506B000000000000000000000000000000000EF -S315400506C000000000000000000000000000000000DF -S315400506D000000000000000000000000000000000CF -S315400506E000000000000000000000000000000000BF -S315400506F000000000000000000000000000000000AF -S31540050700000000000000000000000000000000009E -S31540050710000000000000000000000000000000008E -S31540050720000000000000000000000000000000007E -S31540050730000000000000000000000000000000006E -S31540050740000000000000000000000000000000005E -S31540050750000000000000000000000000000000004E -S31540050760000000000000000000000000000000003E -S315400507704005022000000000FFFFFFFF00020000C9 -S315400507800000000000000000400507804005078086 -S3154005079040050788400507884005079040050790AE -S315400507A04005079840050798400507A0400507A05E -S315400507B0400507A8400507A8400507B0400507B00E -S315400507C0400507B8400507B8400507C0400507C0BE -S315400507D0400507C8400507C8400507D0400507D06E -S315400507E0400507D8400507D8400507E0400507E01E -S315400507F0400507E8400507E8400507F0400507F0CE -S31540050800400507F8400507F840050800400508007B -S315400508104005080840050808400508104005081029 -S3154005082040050818400508184005082040050820D9 -S315400508304005082840050828400508304005083089 -S315400508404005083840050838400508404005084039 -S3154005085040050848400508484005085040050850E9 -S315400508604005085840050858400508604005086099 -S315400508704005086840050868400508704005087049 -S3154005088040050878400508784005088040050880F9 -S3154005089040050888400508884005089040050890A9 -S315400508A04005089840050898400508A0400508A059 -S315400508B0400508A8400508A8400508B0400508B009 -S315400508C0400508B8400508B8400508C0400508C0B9 -S315400508D0400508C8400508C8400508D0400508D069 -S315400508E0400508D8400508D8400508E0400508E019 -S315400508F0400508E8400508E8400508F0400508F0C9 -S31540050900400508F8400508F8400509004005090076 -S315400509104005090840050908400509104005091024 -S3154005092040050918400509184005092040050920D4 -S315400509304005092840050928400509304005093084 -S315400509404005093840050938400509404005094034 -S3154005095040050948400509484005095040050950E4 -S315400509604005095840050958400509604005096094 -S315400509704005096840050968400509704005097044 -S3154005098040050978400509784005098040050980F4 -S3154005099040050988400509884005099040050990A4 -S315400509A04005099840050998400509A0400509A054 -S315400509B0400509A8400509A8400509B0400509B004 -S315400509C0400509B8400509B8400509C0400509C0B4 -S315400509D0400509C8400509C8400509D0400509D064 -S315400509E0400509D8400509D8400509E0400509E014 -S315400509F0400509E8400509E8400509F0400509F0C4 -S31540050A00400509F8400509F840050A0040050A0071 -S31540050A1040050A0840050A0840050A1040050A101F -S31540050A2040050A1840050A1840050A2040050A20CF -S31540050A3040050A2840050A2840050A3040050A307F -S31540050A4040050A3840050A3840050A4040050A402F -S31540050A5040050A4840050A4840050A5040050A50DF -S31540050A6040050A5840050A5840050A6040050A608F -S31540050A7040050A6840050A6840050A7040050A703F -S31540050A8040050A7840050A7840050A8040050A80EF -S31540050A9040050A8840050A8840050A9040050A909F -S31540050AA040050A9840050A9840050AA040050AA04F -S31540050AB040050AA840050AA840050AB040050AB0FF -S31540050AC040050AB840050AB840050AC040050AC0AF -S31540050AD040050AC840050AC840050AD040050AD05F -S31540050AE040050AD840050AD840050AE040050AE00F -S31540050AF040050AE840050AE840050AF040050AF0BF -S31540050B0040050AF840050AF840050B0040050B006C -S31540050B1040050B0840050B0840050B1040050B101A -S31540050B2040050B1840050B1840050B2040050B20CA -S31540050B3040050B2840050B2840050B3040050B307A -S31540050B4040050B3840050B3840050B4040050B402A -S31540050B5040050B4840050B4840050B5040050B50DA -S31540050B6040050B5840050B5840050B6040050B608A -S31540050B7040050B6840050B6840050B7040050B703A -S31540050B8040050B7840050B780000000040050B88B2 -S31540050B900000000000000000000000000000000208 -S31540050BA000000000000000000000000000000000FA -S31540050BB000000000000000000000000000000000EA -S31540050BC000000000000000000000000000000000DA -S31540050BD000000000000000000000000000000000CA -S31540050BE000000000000000000000000000000000BA -S31540050BF000000000000000000000000000000000AA -S31540050C000000000000000000000000000000000099 -S31540050C100000000000000000000000000000000089 -S31540050C200000000000000000000000000000000079 -S31540050C3080000100000000080000000700000006D3 -S31540050C40000000030000000000000000FFFF8AD0FE -S30940050C5080000310C2 -S70540000000BA diff --git a/designs/beaglebone-test1/svga2ch7301c.vhd b/designs/beaglebone-test1/svga2ch7301c.vhd deleted file mode 100644 --- a/designs/beaglebone-test1/svga2ch7301c.vhd +++ /dev/null @@ -1,191 +0,0 @@ ------------------------------------------------------------------------------- --- This file is a part of the GRLIB VHDL IP LIBRARY --- Copyright (C) 2003 - 2008, Gaisler Research --- Copyright (C) 2008 - 2012, Aeroflex Gaisler --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- --- Entity: svga2ch7301c --- File: svga2ch7301c.vhd --- Author: Jan Andersson - Aeroflex Gaisler AB --- jan@gaisler.com --- --- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel --- CH7301C DVI transmitter. Multiplexes data and generates clocks. --- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB --- template designs. --- --- This multiplexer has been developed for use with the Chrontel CH7301C DVI --- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet: --- --- IDF Description --- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1) --- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2) --- 2 8-bit multiplexed RGB input (16-bit color, 565) --- 3 8-bit multiplexed RGB input (15-bit color, 555) --- --- This core assumes a 100 MHz input clock on the 'clk' input. --- --- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth --- to decide if multiplexing should be done according to IDF 0 or IDF 2. --- vago.bitdepth = "11" gives IDF 0, others give IDF2. --- The 'idf' generic is not used when the 'dynamic' generic is non-zero. --- Note that if dynamic selection is enabled you will need to reconfigure --- the DVI transmitter when the VGA core changes bit depth. --- - -library ieee; -use ieee.std_logic_1164.all; - -library gaisler; -use gaisler.misc.all; - -library grlib; -use grlib.stdlib.all; - --- pragma translate_off -library unisim; -use unisim.BUFG; -use unisim.DCM; --- pragma translate_on - -library techmap; -use techmap.gencomp.all; - -entity svga2ch7301c is - - generic ( - tech : integer := 0; - idf : integer := 0; - dynamic : integer := 0 - ); - port ( - clk : in std_ulogic; - vgao : in apbvga_out_type; - vgaclk : in std_ulogic; - dclk_p : out std_ulogic; - dclk_n : out std_ulogic; - data : out std_logic_vector(11 downto 0); - hsync : out std_ulogic; - vsync : out std_ulogic; - de : out std_ulogic - ); - -end svga2ch7301c; - -architecture rtl of svga2ch7301c is - - component BUFG port (O : out std_logic; I : in std_logic); end component; - - component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; - I1 : in std_ulogic; S : in std_ulogic); - end component; - - signal nvgaclk : std_ulogic; - - signal vcc, gnd : std_logic; - signal d0, d1 : std_logic_vector(11 downto 0); - signal red, green, blue : std_logic_vector(7 downto 0); - - signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic; - - signal clkval : std_logic_vector(1 downto 0); - -begin -- rtl - - vcc <= '1'; gnd <= '0'; - - ----------------------------------------------------------------------------- - -- RGB data multiplexer - ----------------------------------------------------------------------------- - red <= vgao.video_out_r; - green <= vgao.video_out_g; - blue <= vgao.video_out_b; - - static: if dynamic = 0 generate - idf0: if (idf = 0) generate - d0 <= green(3 downto 0) & blue(7 downto 0); - d1 <= red(7 downto 0) & green(7 downto 4); - end generate; - - idf1: if (idf = 1) generate - d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0); - d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1); - end generate; - - idf2: if (idf = 2) generate - d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3); - d0(3 downto 0) <= (others => '0'); - d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5); - d1(3 downto 0) <= (others => '0'); - data(3 downto 0) <= (others => '0'); - end generate; - - idf3: if (idf = 3) generate - d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3); - d0(3 downto 0) <= (others => '0'); - d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6); - d1(3 downto 0) <= (others => '0'); - data(3 downto 0) <= (others => '0'); - end generate idf3; - - -- DDR regs - dataregs: for i in 11 downto (4*(idf/2)) generate - ddr_oreg0 : ddr_oreg generic map (tech) - port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc, - d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); - end generate; - end generate; - - nvgaclk <= not vgaclk; - nostatic: if dynamic /= 0 generate - d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else - green(4 downto 2) & blue(7 downto 3) & "0000"; - - d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else - red(7 downto 3) & green(7 downto 5) & "0000"; - - dataregs: for i in 11 downto 0 generate - ddr_oreg0 : ddr_oreg generic map (tech) - port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc, - d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); - end generate; - end generate; - - ----------------------------------------------------------------------------- - -- Sync signals - ----------------------------------------------------------------------------- - - process (vgaclk) - begin -- process - if rising_edge(vgaclk) then - hsync <= vgao.hsync; - vsync <= vgao.vsync; - de <= vgao.blank; - end if; - end process; - - ----------------------------------------------------------------------------- - -- Clock generation - ----------------------------------------------------------------------------- - - ddroreg_p : ddr_oreg generic map (tech) - port map (q => dclk_p, c1 => vgaclk, c2 => nvgaclk, ce => vcc, - d1 => vcc, d2 => gnd, r => gnd, s => gnd); - ddroreg_n : ddr_oreg generic map (tech) - port map (q => dclk_n, c1 => vgaclk, c2 => nvgaclk, ce => vcc, - d1 => gnd, d2 => vcc, r => gnd, s => gnd); - -end rtl; diff --git a/designs/beaglebone-test1/systest.c b/designs/beaglebone-test1/systest.c deleted file mode 100644 --- a/designs/beaglebone-test1/systest.c +++ /dev/null @@ -1,18 +0,0 @@ - -main() - -{ - report_start(); - - -// svgactrl_test(0x80000600, 1, 0, 0x40200000, -1, 0, 0); - base_test(); -/* - greth_test(0x80000e00); - spw_test(0x80100A00); - spw_test(0x80100B00); - spw_test(0x80100C00); - svgactrl_test(0x80000600, 1, 0, 0x40200000, -1, 0, 0); -*/ - report_end(); -} diff --git a/designs/beaglebone-test1/testbench.vhd b/designs/beaglebone-test1/testbench.vhd deleted file mode 100644 --- a/designs/beaglebone-test1/testbench.vhd +++ /dev/null @@ -1,325 +0,0 @@ ------------------------------------------------------------------------------ --- LEON3 Demonstration design test bench --- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------- --- This file is a part of the GRLIB VHDL IP LIBRARY --- Copyright (C) 2003 - 2008, Gaisler Research --- Copyright (C) 2008 - 2012, Aeroflex Gaisler --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; -library gaisler; -use gaisler.libdcom.all; -use gaisler.sim.all; -library techmap; -use techmap.gencomp.all; -use work.debug.all; -library hynix; -use hynix.components.all; -library micron; - -use work.config.all; -- configuration - -entity testbench is - generic ( - fabtech : integer := CFG_FABTECH; - memtech : integer := CFG_MEMTECH; - padtech : integer := CFG_PADTECH; - clktech : integer := CFG_CLKTECH; - disas : integer := CFG_DISAS; -- Enable disassembly to console - dbguart : integer := CFG_DUART; -- Print UART on console - pclow : integer := CFG_PCLOW - - ); -end; - -architecture behav of testbench is - -constant promfile : string := "prom.srec"; -- rom contents -constant sramfile : string := "sram.srec"; -- ram contents -constant sdramfile : string := "sdram.srec"; -- sdram contents - -signal clk : std_logic := '0'; -signal Rst : std_logic := '0'; -- Reset -constant ct : integer := 40; - -signal address : std_logic_vector(24 downto 0); -signal data : std_logic_vector(15 downto 0); -signal button : std_logic_vector(3 downto 0) := "0000"; -signal genio : std_logic_vector(59 downto 0); -signal romsn : std_logic; -signal oen : std_ulogic; -signal writen : std_ulogic; -signal GND : std_ulogic := '0'; -signal VCC : std_ulogic := '1'; -signal NC : std_ulogic := 'Z'; - -signal txd1, rxd1 : std_logic; -signal txd2, rxd2 : std_logic; -signal ctsn1, rtsn1 : std_ulogic; -signal ctsn2, rtsn2 : std_ulogic; - -signal phy_mii_data: std_logic; -- ethernet PHY interface -signal phy_tx_clk : std_ulogic; -signal phy_rx_clk : std_ulogic; -signal phy_rx_data : std_logic_vector(7 downto 0); -signal phy_dv : std_ulogic; -signal phy_rx_er : std_ulogic; -signal phy_col : std_ulogic; -signal phy_crs : std_ulogic; -signal phy_tx_data : std_logic_vector(7 downto 0); -signal phy_tx_en : std_ulogic; -signal phy_tx_er : std_ulogic; -signal phy_mii_clk : std_ulogic; -signal phy_rst_n : std_ulogic; -signal phy_gtx_clk : std_ulogic; -signal phy_mii_int_n : std_ulogic; - -signal clk27 : std_ulogic := '0'; -signal clk200p : std_ulogic := '0'; -signal clk200n : std_ulogic := '1'; -signal clk33 : std_ulogic := '0'; - -signal iic_scl : std_ulogic; -signal iic_sda : std_ulogic; -signal ddc_scl : std_ulogic; -signal ddc_sda : std_ulogic; -signal dvi_iic_scl : std_logic; -signal dvi_iic_sda : std_logic; - -signal tft_lcd_data : std_logic_vector(11 downto 0); -signal tft_lcd_clk_p : std_ulogic; -signal tft_lcd_clk_n : std_ulogic; -signal tft_lcd_hsync : std_ulogic; -signal tft_lcd_vsync : std_ulogic; -signal tft_lcd_de : std_ulogic; -signal tft_lcd_reset_b : std_ulogic; - - -- DDR2 memory - signal ddr_clk : std_logic; - signal ddr_clkb : std_logic; - signal ddr_clk_fb : std_logic; - signal ddr_cke : std_logic; - signal ddr_csb : std_logic := '0'; - signal ddr_we : std_ulogic; -- write enable - signal ddr_ras : std_ulogic; -- ras - signal ddr_cas : std_ulogic; -- cas - signal ddr_dm : std_logic_vector(1 downto 0); -- dm - signal ddr_dqs : std_logic_vector(1 downto 0); -- dqs - signal ddr_dqsn : std_logic_vector(1 downto 0); -- dqsn - signal ddr3_tdqs_n : std_logic_vector(1 downto 0); -- dqsn - signal ddr_ad : std_logic_vector(12 downto 0); -- address - signal ddr_ba : std_logic_vector(2 downto 0); -- bank address - signal ddr_dq : std_logic_vector(15 downto 0); -- data - signal ddr_dq2 : std_logic_vector(15 downto 0); -- data - signal ddr_odt : std_logic; - signal ddr_reset_n: std_logic; - signal ddr_rzq : std_logic; - signal ddr_zio : std_logic; - - - -- SPI flash - signal spi_sel_n : std_ulogic; - signal spi_clk : std_ulogic; - signal spi_mosi : std_ulogic; - - signal dsurst : std_ulogic; - signal errorn : std_logic; - -signal switch : std_logic_vector(3 downto 0); -- I/O port -signal led : std_logic_vector(3 downto 0); -- I/O port -constant lresp : boolean := false; - -begin - --- clock and reset - - clk27 <= not clk27 after ct * 1 ns; - clk33 <= not clk33 after 15 ns; - clk200p <= not clk200p after 2.5 ns; - clk200n <= not clk200n after 2.5 ns; - rst <= not dsurst; - rxd1 <= 'H'; ctsn1 <= '0'; - rxd2 <= 'H'; ctsn2 <= '0'; - button <= "0000"; - switch <= "0000"; - - cpu : entity work.leon3mp - generic map ( fabtech, memtech, padtech, clktech, - disas, dbguart, pclow ) - port map (rst, clk27, clk200p, clk200n, clk33, address(24 downto 1), - data, oen, writen, romsn, - ddr_clk, ddr_clkb, ddr_cke, ddr_odt, ddr_reset_n, ddr_we, ddr_ras, ddr_cas, ddr_dm, - ddr_dqs, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq, ddr_rzq, ddr_zio, - txd1, rxd1, ctsn1, rtsn1, button, - switch, led, - phy_gtx_clk, phy_mii_data, phy_tx_clk, phy_rx_clk, - phy_rx_data, phy_dv, phy_rx_er, phy_col, phy_crs, phy_tx_data, - phy_tx_en, phy_tx_er, phy_mii_clk, phy_rst_n, phy_mii_int_n, - iic_scl, iic_sda, ddc_scl, ddc_sda, - dvi_iic_scl, dvi_iic_sda, - tft_lcd_data, tft_lcd_clk_p, tft_lcd_clk_n, tft_lcd_hsync, - tft_lcd_vsync, tft_lcd_de, tft_lcd_reset_b, - spi_sel_n, spi_clk, spi_mosi - ); - --- prom0 : sram generic map (index => 6, abits => romdepth, fname => promfile) --- port map (address(romdepth-1 downto 0), data(31 downto 24), romsn, --- writen, oen); - - prom0 : for i in 0 to 1 generate - sr0 : sram generic map (index => i+4, abits => 24, fname => promfile) - port map (address(24 downto 1), data(15-i*8 downto 8-i*8), romsn, - writen, oen); - end generate; - address(0) <= '0'; - - u1 : entity micron.ddr3 - port map ( rst_n => ddr_reset_n, dq => ddr_dq, - tdqs_n => ddr3_tdqs_n, - dqs => ddr_dqs, dqs_n => ddr_dqsn, - dm_tdqs => ddr_dm, we_n => ddr_we, cas_n => ddr_cas, - ras_n => ddr_ras, cs_n => ddr_csb, ba => ddr_ba, - addr => ddr_ad(12 downto 0), cke => ddr_cke, - ck => ddr_clk, ck_n => ddr_clkb, odt => ddr_odt) ; - - errorn <= led(1); - errorn <= 'H'; -- ERROR pull-up - - phy0 : if (CFG_GRETH = 1) generate - phy_mii_data <= 'H'; - p0: phy - generic map (address => 7) - port map(phy_rst_n, phy_mii_data, phy_tx_clk, phy_rx_clk, phy_rx_data, - phy_dv, phy_rx_er, phy_col, phy_crs, phy_tx_data, phy_tx_en, - phy_tx_er, phy_mii_clk, phy_gtx_clk); - end generate; - - iuerr : process - begin - wait for 5000 ns; - if to_x01(errorn) = '1' then wait on errorn; end if; - assert (to_x01(errorn) = '1') - report "*** IU in error mode, simulation halted ***" - severity failure ; - end process; - - data <= buskeep(data) after 5 ns; - - dsucom : process - procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is - variable w32 : std_logic_vector(31 downto 0); - variable c8 : std_logic_vector(7 downto 0); - constant txp : time := 320 * 1 ns; - begin - dsutx <= '1'; - dsurst <= '0'; - wait for 2500 ns; - dsurst <= '1'; - wait; - wait for 5000 ns; - txc(dsutx, 16#55#, txp); -- sync uart - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); - txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); - - wait for 25000 ns; - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); - txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); - - txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); - txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); - - txc(dsutx, 16#80#, txp); - txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); - - wait; - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#aa#, txp); - txa(dsutx, 16#00#, 16#55#, 16#00#, 16#55#, txp); - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#a0#, txp); - txa(dsutx, 16#01#, 16#02#, 16#09#, 16#33#, txp); - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#80#, 16#00#, 16#02#, 16#10#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); - - - - - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); - txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); - txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); - - txc(dsutx, 16#c0#, txp); - txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); - txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); - - txc(dsutx, 16#80#, txp); - txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); - rxi(dsurx, w32, txp, lresp); - - txc(dsutx, 16#a0#, txp); - txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); - rxi(dsurx, w32, txp, lresp); - - end; - - begin - - dsucfg(txd2, rxd2); - - wait; - end process; -end ; - diff --git a/designs/beaglebone-test1/tkconfig.h b/designs/beaglebone-test1/tkconfig.h deleted file mode 100644 --- a/designs/beaglebone-test1/tkconfig.h +++ /dev/null @@ -1,1051 +0,0 @@ -#if defined CONFIG_SYN_INFERRED -#define CONFIG_SYN_TECH inferred -#elif defined CONFIG_SYN_UMC -#define CONFIG_SYN_TECH umc -#elif defined CONFIG_SYN_RHUMC -#define CONFIG_SYN_TECH rhumc -#elif defined CONFIG_SYN_ATC18 -#define CONFIG_SYN_TECH atc18s -#elif defined CONFIG_SYN_ATC18RHA -#define CONFIG_SYN_TECH atc18rha -#elif defined CONFIG_SYN_AXCEL -#define CONFIG_SYN_TECH axcel -#elif defined CONFIG_SYN_AXDSP -#define CONFIG_SYN_TECH axdsp -#elif defined CONFIG_SYN_PROASICPLUS -#define CONFIG_SYN_TECH proasic -#elif defined CONFIG_SYN_ALTERA -#define CONFIG_SYN_TECH altera -#elif defined CONFIG_SYN_STRATIX -#define CONFIG_SYN_TECH stratix1 -#elif defined CONFIG_SYN_STRATIXII -#define CONFIG_SYN_TECH stratix2 -#elif defined CONFIG_SYN_STRATIXIII -#define CONFIG_SYN_TECH stratix3 -#elif defined CONFIG_SYN_CYCLONEIII -#define CONFIG_SYN_TECH cyclone3 -#elif defined CONFIG_SYN_EASIC45 -#define CONFIG_SYN_TECH easic45 -#elif defined CONFIG_SYN_EASIC90 -#define CONFIG_SYN_TECH easic90 -#elif defined CONFIG_SYN_IHP25 -#define CONFIG_SYN_TECH ihp25 -#elif defined CONFIG_SYN_IHP25RH -#define CONFIG_SYN_TECH ihp25rh -#elif defined CONFIG_SYN_CMOS9SF -#define CONFIG_SYN_TECH cmos9sf -#elif defined CONFIG_SYN_LATTICE -#define CONFIG_SYN_TECH lattice -#elif defined CONFIG_SYN_ECLIPSE -#define CONFIG_SYN_TECH eclipse -#elif defined CONFIG_SYN_PEREGRINE -#define CONFIG_SYN_TECH peregrine -#elif defined CONFIG_SYN_PROASIC -#define CONFIG_SYN_TECH proasic -#elif defined CONFIG_SYN_PROASIC3 -#define CONFIG_SYN_TECH apa3 -#elif defined CONFIG_SYN_PROASIC3E -#define CONFIG_SYN_TECH apa3e -#elif defined CONFIG_SYN_PROASIC3L -#define CONFIG_SYN_TECH apa3l -#elif defined CONFIG_SYN_IGLOO -#define CONFIG_SYN_TECH apa3 -#elif defined CONFIG_SYN_FUSION -#define CONFIG_SYN_TECH actfus -#elif defined CONFIG_SYN_SPARTAN2 -#define CONFIG_SYN_TECH virtex -#elif defined CONFIG_SYN_VIRTEX -#define CONFIG_SYN_TECH virtex -#elif defined CONFIG_SYN_VIRTEXE -#define CONFIG_SYN_TECH virtex -#elif defined CONFIG_SYN_SPARTAN3 -#define CONFIG_SYN_TECH spartan3 -#elif defined CONFIG_SYN_SPARTAN3E -#define CONFIG_SYN_TECH spartan3e -#elif defined CONFIG_SYN_SPARTAN6 -#define CONFIG_SYN_TECH spartan6 -#elif defined CONFIG_SYN_VIRTEX2 -#define CONFIG_SYN_TECH virtex2 -#elif defined CONFIG_SYN_VIRTEX4 -#define CONFIG_SYN_TECH virtex4 -#elif defined CONFIG_SYN_VIRTEX5 -#define CONFIG_SYN_TECH virtex5 -#elif defined CONFIG_SYN_VIRTEX6 -#define CONFIG_SYN_TECH virtex6 -#elif defined CONFIG_SYN_RH_LIB18T -#define CONFIG_SYN_TECH rhlib18t -#elif defined CONFIG_SYN_SMIC13 -#define CONFIG_SYN_TECH smic013 -#elif defined CONFIG_SYN_UT025CRH -#define CONFIG_SYN_TECH ut25 -#elif defined CONFIG_SYN_UT130HBD -#define CONFIG_SYN_TECH ut130 -#elif defined CONFIG_SYN_UT90NHBD -#define CONFIG_SYN_TECH ut90 -#elif defined CONFIG_SYN_TSMC90 -#define CONFIG_SYN_TECH tsmc90 -#elif defined CONFIG_SYN_TM65GPLUS -#define CONFIG_SYN_TECH tm65gpl -#elif defined CONFIG_SYN_CUSTOM1 -#define CONFIG_SYN_TECH custom1 -#else -#error "unknown target technology" -#endif - -#if defined CONFIG_SYN_INFER_RAM -#define CFG_RAM_TECH inferred -#elif defined CONFIG_MEM_UMC -#define CFG_RAM_TECH umc -#elif defined CONFIG_MEM_RHUMC -#define CFG_RAM_TECH rhumc -#elif defined CONFIG_MEM_VIRAGE -#define CFG_RAM_TECH memvirage -#elif defined CONFIG_MEM_ARTISAN -#define CFG_RAM_TECH memartisan -#elif defined CONFIG_MEM_CUSTOM1 -#define CFG_RAM_TECH custom1 -#elif defined CONFIG_MEM_VIRAGE90 -#define CFG_RAM_TECH memvirage90 -#elif defined CONFIG_MEM_INFERRED -#define CFG_RAM_TECH inferred -#else -#define CFG_RAM_TECH CONFIG_SYN_TECH -#endif - -#if defined CONFIG_SYN_INFER_PADS -#define CFG_PAD_TECH inferred -#else -#define CFG_PAD_TECH CONFIG_SYN_TECH -#endif - -#ifndef CONFIG_SYN_NO_ASYNC -#define CONFIG_SYN_NO_ASYNC 0 -#endif - -#ifndef CONFIG_SYN_SCAN -#define CONFIG_SYN_SCAN 0 -#endif - - -#if defined CONFIG_CLK_ALTDLL -#define CFG_CLK_TECH CONFIG_SYN_TECH -#elif defined CONFIG_CLK_HCLKBUF -#define CFG_CLK_TECH axcel -#elif defined CONFIG_CLK_LATDLL -#define CFG_CLK_TECH lattice -#elif defined CONFIG_CLK_PRO3PLL -#define CFG_CLK_TECH apa3 -#elif defined CONFIG_CLK_PRO3EPLL -#define CFG_CLK_TECH apa3e -#elif defined CONFIG_CLK_PRO3LPLL -#define CFG_CLK_TECH apa3l -#elif defined CONFIG_CLK_FUSPLL -#define CFG_CLK_TECH actfus -#elif defined CONFIG_CLK_CLKDLL -#define CFG_CLK_TECH virtex -#elif defined CONFIG_CLK_DCM -#define CFG_CLK_TECH CONFIG_SYN_TECH -#elif defined CONFIG_CLK_LIB18T -#define CFG_CLK_TECH rhlib18t -#elif defined CONFIG_CLK_RHUMC -#define CFG_CLK_TECH rhumc -#elif defined CONFIG_CLK_UT130HBD -#define CFG_CLK_TECH ut130 -#else -#define CFG_CLK_TECH inferred -#endif - -#ifndef CONFIG_CLK_MUL -#define CONFIG_CLK_MUL 2 -#endif - -#ifndef CONFIG_CLK_DIV -#define CONFIG_CLK_DIV 2 -#endif - -#ifndef CONFIG_OCLK_DIV -#define CONFIG_OCLK_DIV 1 -#endif - -#ifndef CONFIG_OCLKB_DIV -#define CONFIG_OCLKB_DIV 0 -#endif - -#ifndef CONFIG_OCLKC_DIV -#define CONFIG_OCLKC_DIV 0 -#endif - -#ifndef CONFIG_PCI_CLKDLL -#define CONFIG_PCI_CLKDLL 0 -#endif - -#ifndef CONFIG_PCI_SYSCLK -#define CONFIG_PCI_SYSCLK 0 -#endif - -#ifndef CONFIG_CLK_NOFB -#define CONFIG_CLK_NOFB 0 -#endif -#ifndef CONFIG_LEON3 -#define CONFIG_LEON3 0 -#endif - -#ifndef CONFIG_PROC_NUM -#define CONFIG_PROC_NUM 1 -#endif - -#ifndef CONFIG_IU_NWINDOWS -#define CONFIG_IU_NWINDOWS 8 -#endif - -#ifndef CONFIG_IU_RSTADDR -#define CONFIG_IU_RSTADDR 8 -#endif - -#ifndef CONFIG_IU_LDELAY -#define CONFIG_IU_LDELAY 1 -#endif - -#ifndef CONFIG_IU_WATCHPOINTS -#define CONFIG_IU_WATCHPOINTS 0 -#endif - -#ifdef CONFIG_IU_V8MULDIV -#ifdef CONFIG_IU_MUL_LATENCY_4 -#define CFG_IU_V8 1 -#elif defined CONFIG_IU_MUL_LATENCY_5 -#define CFG_IU_V8 2 -#elif defined CONFIG_IU_MUL_LATENCY_2 -#define CFG_IU_V8 16#32# -#endif -#else -#define CFG_IU_V8 0 -#endif - -#ifdef CONFIG_IU_MUL_MODGEN -#define CFG_IU_MUL_STRUCT 1 -#elif defined CONFIG_IU_MUL_TECHSPEC -#define CFG_IU_MUL_STRUCT 2 -#elif defined CONFIG_IU_MUL_DW -#define CFG_IU_MUL_STRUCT 3 -#else -#define CFG_IU_MUL_STRUCT 0 -#endif - -#ifndef CONFIG_PWD -#define CONFIG_PWD 0 -#endif - -#ifndef CONFIG_IU_MUL_MAC -#define CONFIG_IU_MUL_MAC 0 -#endif - -#ifndef CONFIG_IU_BP -#define CONFIG_IU_BP 0 -#endif - -#ifndef CONFIG_NOTAG -#define CONFIG_NOTAG 0 -#endif - -#ifndef CONFIG_IU_SVT -#define CONFIG_IU_SVT 0 -#endif - -#if defined CONFIG_FPU_GRFPC1 -#define CONFIG_FPU_GRFPC 1 -#elif defined CONFIG_FPU_GRFPC2 -#define CONFIG_FPU_GRFPC 2 -#else -#define CONFIG_FPU_GRFPC 0 -#endif - -#if defined CONFIG_FPU_GRFPU_INFMUL -#define CONFIG_FPU_GRFPU_MUL 0 -#elif defined CONFIG_FPU_GRFPU_DWMUL -#define CONFIG_FPU_GRFPU_MUL 1 -#elif defined CONFIG_FPU_GRFPU_MODGEN -#define CONFIG_FPU_GRFPU_MUL 2 -#elif defined CONFIG_FPU_GRFPU_TECHSPEC -#define CONFIG_FPU_GRFPU_MUL 3 -#else -#define CONFIG_FPU_GRFPU_MUL 0 -#endif - -#if defined CONFIG_FPU_GRFPU_SH -#define CONFIG_FPU_GRFPU_SHARED 1 -#else -#define CONFIG_FPU_GRFPU_SHARED 0 -#endif - -#if defined CONFIG_FPU_GRFPU -#define CONFIG_FPU (1+CONFIG_FPU_GRFPU_MUL) -#elif defined CONFIG_FPU_MEIKO -#define CONFIG_FPU 15 -#elif defined CONFIG_FPU_GRFPULITE -#define CONFIG_FPU (8+CONFIG_FPU_GRFPC) -#else -#define CONFIG_FPU 0 -#endif - -#ifndef CONFIG_FPU_NETLIST -#define CONFIG_FPU_NETLIST 0 -#endif - -#ifndef CONFIG_ICACHE_ENABLE -#define CONFIG_ICACHE_ENABLE 0 -#endif - -#if defined CONFIG_ICACHE_ASSO1 -#define CFG_IU_ISETS 1 -#elif defined CONFIG_ICACHE_ASSO2 -#define CFG_IU_ISETS 2 -#elif defined CONFIG_ICACHE_ASSO3 -#define CFG_IU_ISETS 3 -#elif defined CONFIG_ICACHE_ASSO4 -#define CFG_IU_ISETS 4 -#else -#define CFG_IU_ISETS 1 -#endif - -#if defined CONFIG_ICACHE_SZ1 -#define CFG_ICACHE_SZ 1 -#elif defined CONFIG_ICACHE_SZ2 -#define CFG_ICACHE_SZ 2 -#elif defined CONFIG_ICACHE_SZ4 -#define CFG_ICACHE_SZ 4 -#elif defined CONFIG_ICACHE_SZ8 -#define CFG_ICACHE_SZ 8 -#elif defined CONFIG_ICACHE_SZ16 -#define CFG_ICACHE_SZ 16 -#elif defined CONFIG_ICACHE_SZ32 -#define CFG_ICACHE_SZ 32 -#elif defined CONFIG_ICACHE_SZ64 -#define CFG_ICACHE_SZ 64 -#elif defined CONFIG_ICACHE_SZ128 -#define CFG_ICACHE_SZ 128 -#elif defined CONFIG_ICACHE_SZ256 -#define CFG_ICACHE_SZ 256 -#else -#define CFG_ICACHE_SZ 1 -#endif - -#ifdef CONFIG_ICACHE_LZ16 -#define CFG_ILINE_SZ 4 -#else -#define CFG_ILINE_SZ 8 -#endif - -#if defined CONFIG_ICACHE_ALGODIR -#define CFG_ICACHE_ALGORND 3 -#elif defined CONFIG_ICACHE_ALGORND -#define CFG_ICACHE_ALGORND 2 -#elif defined CONFIG_ICACHE_ALGOLRR -#define CFG_ICACHE_ALGORND 1 -#else -#define CFG_ICACHE_ALGORND 0 -#endif - -#ifndef CONFIG_ICACHE_LOCK -#define CONFIG_ICACHE_LOCK 0 -#endif - -#ifndef CONFIG_ICACHE_LRAM -#define CONFIG_ICACHE_LRAM 0 -#endif - -#ifndef CONFIG_ICACHE_LRSTART -#define CONFIG_ICACHE_LRSTART 8E -#endif - -#if defined CONFIG_ICACHE_LRAM_SZ2 -#define CFG_ILRAM_SIZE 2 -#elif defined CONFIG_ICACHE_LRAM_SZ4 -#define CFG_ILRAM_SIZE 4 -#elif defined CONFIG_ICACHE_LRAM_SZ8 -#define CFG_ILRAM_SIZE 8 -#elif defined CONFIG_ICACHE_LRAM_SZ16 -#define CFG_ILRAM_SIZE 16 -#elif defined CONFIG_ICACHE_LRAM_SZ32 -#define CFG_ILRAM_SIZE 32 -#elif defined CONFIG_ICACHE_LRAM_SZ64 -#define CFG_ILRAM_SIZE 64 -#elif defined CONFIG_ICACHE_LRAM_SZ128 -#define CFG_ILRAM_SIZE 128 -#elif defined CONFIG_ICACHE_LRAM_SZ256 -#define CFG_ILRAM_SIZE 256 -#else -#define CFG_ILRAM_SIZE 1 -#endif - - -#ifndef CONFIG_DCACHE_ENABLE -#define CONFIG_DCACHE_ENABLE 0 -#endif - -#if defined CONFIG_DCACHE_ASSO1 -#define CFG_IU_DSETS 1 -#elif defined CONFIG_DCACHE_ASSO2 -#define CFG_IU_DSETS 2 -#elif defined CONFIG_DCACHE_ASSO3 -#define CFG_IU_DSETS 3 -#elif defined CONFIG_DCACHE_ASSO4 -#define CFG_IU_DSETS 4 -#else -#define CFG_IU_DSETS 1 -#endif - -#if defined CONFIG_DCACHE_SZ1 -#define CFG_DCACHE_SZ 1 -#elif defined CONFIG_DCACHE_SZ2 -#define CFG_DCACHE_SZ 2 -#elif defined CONFIG_DCACHE_SZ4 -#define CFG_DCACHE_SZ 4 -#elif defined CONFIG_DCACHE_SZ8 -#define CFG_DCACHE_SZ 8 -#elif defined CONFIG_DCACHE_SZ16 -#define CFG_DCACHE_SZ 16 -#elif defined CONFIG_DCACHE_SZ32 -#define CFG_DCACHE_SZ 32 -#elif defined CONFIG_DCACHE_SZ64 -#define CFG_DCACHE_SZ 64 -#elif defined CONFIG_DCACHE_SZ128 -#define CFG_DCACHE_SZ 128 -#elif defined CONFIG_DCACHE_SZ256 -#define CFG_DCACHE_SZ 256 -#else -#define CFG_DCACHE_SZ 1 -#endif - -#ifdef CONFIG_DCACHE_LZ16 -#define CFG_DLINE_SZ 4 -#else -#define CFG_DLINE_SZ 8 -#endif - -#if defined CONFIG_DCACHE_ALGODIR -#define CFG_DCACHE_ALGORND 3 -#elif defined CONFIG_DCACHE_ALGORND -#define CFG_DCACHE_ALGORND 2 -#elif defined CONFIG_DCACHE_ALGOLRR -#define CFG_DCACHE_ALGORND 1 -#else -#define CFG_DCACHE_ALGORND 0 -#endif - -#ifndef CONFIG_DCACHE_LOCK -#define CONFIG_DCACHE_LOCK 0 -#endif - -#ifndef CONFIG_DCACHE_SNOOP -#define CONFIG_DCACHE_SNOOP 0 -#endif - -#ifndef CONFIG_DCACHE_SNOOP_FAST -#define CONFIG_DCACHE_SNOOP_FAST 0 -#endif - -#ifndef CONFIG_DCACHE_SNOOP_SEPTAG -#define CONFIG_DCACHE_SNOOP_SEPTAG 0 -#endif - -#ifndef CONFIG_CACHE_FIXED -#define CONFIG_CACHE_FIXED 0 -#endif - -#ifndef CONFIG_DCACHE_LRAM -#define CONFIG_DCACHE_LRAM 0 -#endif - -#ifndef CONFIG_DCACHE_LRSTART -#define CONFIG_DCACHE_LRSTART 8F -#endif - -#if defined CONFIG_DCACHE_LRAM_SZ2 -#define CFG_DLRAM_SIZE 2 -#elif defined CONFIG_DCACHE_LRAM_SZ4 -#define CFG_DLRAM_SIZE 4 -#elif defined CONFIG_DCACHE_LRAM_SZ8 -#define CFG_DLRAM_SIZE 8 -#elif defined CONFIG_DCACHE_LRAM_SZ16 -#define CFG_DLRAM_SIZE 16 -#elif defined CONFIG_DCACHE_LRAM_SZ32 -#define CFG_DLRAM_SIZE 32 -#elif defined CONFIG_DCACHE_LRAM_SZ64 -#define CFG_DLRAM_SIZE 64 -#elif defined CONFIG_DCACHE_LRAM_SZ128 -#define CFG_DLRAM_SIZE 128 -#elif defined CONFIG_DCACHE_LRAM_SZ256 -#define CFG_DLRAM_SIZE 256 -#else -#define CFG_DLRAM_SIZE 1 -#endif - -#if defined CONFIG_MMU_PAGE_4K -#define CONFIG_MMU_PAGE 0 -#elif defined CONFIG_MMU_PAGE_8K -#define CONFIG_MMU_PAGE 1 -#elif defined CONFIG_MMU_PAGE_16K -#define CONFIG_MMU_PAGE 2 -#elif defined CONFIG_MMU_PAGE_32K -#define CONFIG_MMU_PAGE 3 -#elif defined CONFIG_MMU_PAGE_PROG -#define CONFIG_MMU_PAGE 4 -#else -#define CONFIG_MMU_PAGE 0 -#endif - -#ifdef CONFIG_MMU_ENABLE -#define CONFIG_MMUEN 1 - -#ifdef CONFIG_MMU_SPLIT -#define CONFIG_TLB_TYPE 0 -#endif -#ifdef CONFIG_MMU_COMBINED -#define CONFIG_TLB_TYPE 1 -#endif - -#ifdef CONFIG_MMU_REPARRAY -#define CONFIG_TLB_REP 0 -#endif -#ifdef CONFIG_MMU_REPINCREMENT -#define CONFIG_TLB_REP 1 -#endif - -#ifdef CONFIG_MMU_I2 -#define CONFIG_ITLBNUM 2 -#endif -#ifdef CONFIG_MMU_I4 -#define CONFIG_ITLBNUM 4 -#endif -#ifdef CONFIG_MMU_I8 -#define CONFIG_ITLBNUM 8 -#endif -#ifdef CONFIG_MMU_I16 -#define CONFIG_ITLBNUM 16 -#endif -#ifdef CONFIG_MMU_I32 -#define CONFIG_ITLBNUM 32 -#endif - -#define CONFIG_DTLBNUM 2 -#ifdef CONFIG_MMU_D2 -#undef CONFIG_DTLBNUM -#define CONFIG_DTLBNUM 2 -#endif -#ifdef CONFIG_MMU_D4 -#undef CONFIG_DTLBNUM -#define CONFIG_DTLBNUM 4 -#endif -#ifdef CONFIG_MMU_D8 -#undef CONFIG_DTLBNUM -#define CONFIG_DTLBNUM 8 -#endif -#ifdef CONFIG_MMU_D16 -#undef CONFIG_DTLBNUM -#define CONFIG_DTLBNUM 16 -#endif -#ifdef CONFIG_MMU_D32 -#undef CONFIG_DTLBNUM -#define CONFIG_DTLBNUM 32 -#endif -#ifdef CONFIG_MMU_FASTWB -#define CFG_MMU_FASTWB 1 -#else -#define CFG_MMU_FASTWB 0 -#endif - -#else -#define CONFIG_MMUEN 0 -#define CONFIG_ITLBNUM 2 -#define CONFIG_DTLBNUM 2 -#define CONFIG_TLB_TYPE 1 -#define CONFIG_TLB_REP 1 -#define CFG_MMU_FASTWB 0 -#endif - -#ifndef CONFIG_DSU_ENABLE -#define CONFIG_DSU_ENABLE 0 -#endif - -#if defined CONFIG_DSU_ITRACESZ1 -#define CFG_DSU_ITB 1 -#elif CONFIG_DSU_ITRACESZ2 -#define CFG_DSU_ITB 2 -#elif CONFIG_DSU_ITRACESZ4 -#define CFG_DSU_ITB 4 -#elif CONFIG_DSU_ITRACESZ8 -#define CFG_DSU_ITB 8 -#elif CONFIG_DSU_ITRACESZ16 -#define CFG_DSU_ITB 16 -#else -#define CFG_DSU_ITB 0 -#endif - -#if defined CONFIG_DSU_ATRACESZ1 -#define CFG_DSU_ATB 1 -#elif CONFIG_DSU_ATRACESZ2 -#define CFG_DSU_ATB 2 -#elif CONFIG_DSU_ATRACESZ4 -#define CFG_DSU_ATB 4 -#elif CONFIG_DSU_ATRACESZ8 -#define CFG_DSU_ATB 8 -#elif CONFIG_DSU_ATRACESZ16 -#define CFG_DSU_ATB 16 -#else -#define CFG_DSU_ATB 0 -#endif - -#ifndef CONFIG_LEON3FT_EN -#define CONFIG_LEON3FT_EN 0 -#endif - -#if defined CONFIG_IUFT_PAR -#define CONFIG_IUFT_EN 1 -#elif defined CONFIG_IUFT_DMR -#define CONFIG_IUFT_EN 2 -#elif defined CONFIG_IUFT_BCH -#define CONFIG_IUFT_EN 3 -#elif defined CONFIG_IUFT_TMR -#define CONFIG_IUFT_EN 4 -#else -#define CONFIG_IUFT_EN 0 -#endif -#ifndef CONFIG_RF_ERRINJ -#define CONFIG_RF_ERRINJ 0 -#endif - -#ifndef CONFIG_FPUFT_EN -#define CONFIG_FPUFT 0 -#else -#ifdef CONFIG_FPU_GRFPU -#define CONFIG_FPUFT 2 -#else -#define CONFIG_FPUFT 1 -#endif -#endif - -#ifndef CONFIG_CACHE_FT_EN -#define CONFIG_CACHE_FT_EN 0 -#endif -#ifndef CONFIG_CACHE_ERRINJ -#define CONFIG_CACHE_ERRINJ 0 -#endif - -#ifndef CONFIG_LEON3_NETLIST -#define CONFIG_LEON3_NETLIST 0 -#endif - -#ifdef CONFIG_DEBUG_PC32 -#define CFG_DEBUG_PC32 0 -#else -#define CFG_DEBUG_PC32 2 -#endif -#ifndef CONFIG_IU_DISAS -#define CONFIG_IU_DISAS 0 -#endif -#ifndef CONFIG_IU_DISAS_NET -#define CONFIG_IU_DISAS_NET 0 -#endif - - -#ifndef CONFIG_AHB_SPLIT -#define CONFIG_AHB_SPLIT 0 -#endif - -#ifndef CONFIG_AHB_RROBIN -#define CONFIG_AHB_RROBIN 0 -#endif - -#ifndef CONFIG_AHB_IOADDR -#define CONFIG_AHB_IOADDR FFF -#endif - -#ifndef CONFIG_APB_HADDR -#define CONFIG_APB_HADDR 800 -#endif - -#ifndef CONFIG_AHB_MON -#define CONFIG_AHB_MON 0 -#endif - -#ifndef CONFIG_AHB_MONERR -#define CONFIG_AHB_MONERR 0 -#endif - -#ifndef CONFIG_AHB_MONWAR -#define CONFIG_AHB_MONWAR 0 -#endif - -#ifndef CONFIG_AHB_DTRACE -#define CONFIG_AHB_DTRACE 0 -#endif - -#ifndef CONFIG_DSU_JTAG -#define CONFIG_DSU_JTAG 0 -#endif - -#ifndef CONFIG_DSU_ETH -#define CONFIG_DSU_ETH 0 -#endif - -#ifndef CONFIG_DSU_IPMSB -#define CONFIG_DSU_IPMSB C0A8 -#endif - -#ifndef CONFIG_DSU_IPLSB -#define CONFIG_DSU_IPLSB 0033 -#endif - -#ifndef CONFIG_DSU_ETHMSB -#define CONFIG_DSU_ETHMSB 020000 -#endif - -#ifndef CONFIG_DSU_ETHLSB -#define CONFIG_DSU_ETHLSB 000009 -#endif - -#if defined CONFIG_DSU_ETHSZ1 -#define CFG_DSU_ETHB 1 -#elif CONFIG_DSU_ETHSZ2 -#define CFG_DSU_ETHB 2 -#elif CONFIG_DSU_ETHSZ4 -#define CFG_DSU_ETHB 4 -#elif CONFIG_DSU_ETHSZ8 -#define CFG_DSU_ETHB 8 -#elif CONFIG_DSU_ETHSZ16 -#define CFG_DSU_ETHB 16 -#elif CONFIG_DSU_ETHSZ32 -#define CFG_DSU_ETHB 32 -#else -#define CFG_DSU_ETHB 1 -#endif - -#ifndef CONFIG_DSU_ETH_PROG -#define CONFIG_DSU_ETH_PROG 0 -#endif - -#ifndef CONFIG_DSU_ETH_DIS -#define CONFIG_DSU_ETH_DIS 0 -#endif - -#ifndef CONFIG_MCTRL_LEON2 -#define CONFIG_MCTRL_LEON2 0 -#endif - -#ifndef CONFIG_MCTRL_SDRAM -#define CONFIG_MCTRL_SDRAM 0 -#endif - -#ifndef CONFIG_MCTRL_SDRAM_SEPBUS -#define CONFIG_MCTRL_SDRAM_SEPBUS 0 -#endif - -#ifndef CONFIG_MCTRL_SDRAM_INVCLK -#define CONFIG_MCTRL_SDRAM_INVCLK 0 -#endif - -#ifndef CONFIG_MCTRL_SDRAM_BUS64 -#define CONFIG_MCTRL_SDRAM_BUS64 0 -#endif - -#ifndef CONFIG_MCTRL_8BIT -#define CONFIG_MCTRL_8BIT 0 -#endif - -#ifndef CONFIG_MCTRL_16BIT -#define CONFIG_MCTRL_16BIT 0 -#endif - -#ifndef CONFIG_MCTRL_5CS -#define CONFIG_MCTRL_5CS 0 -#endif - -#ifndef CONFIG_MCTRL_EDAC -#define CONFIG_MCTRL_EDAC 0 -#endif - -#ifndef CONFIG_MCTRL_PAGE -#define CONFIG_MCTRL_PAGE 0 -#endif - -#ifndef CONFIG_MCTRL_PROGPAGE -#define CONFIG_MCTRL_PROGPAGE 0 -#endif - - -#ifndef CONFIG_MIG_DDR2 -#define CONFIG_MIG_DDR2 0 -#endif - -#ifndef CONFIG_MIG_RANKS -#define CONFIG_MIG_RANKS 1 -#endif - -#ifndef CONFIG_MIG_COLBITS -#define CONFIG_MIG_COLBITS 10 -#endif - -#ifndef CONFIG_MIG_ROWBITS -#define CONFIG_MIG_ROWBITS 13 -#endif - -#ifndef CONFIG_MIG_BANKBITS -#define CONFIG_MIG_BANKBITS 2 -#endif - -#ifndef CONFIG_MIG_HMASK -#define CONFIG_MIG_HMASK F00 -#endif -#ifndef CONFIG_AHBSTAT_ENABLE -#define CONFIG_AHBSTAT_ENABLE 0 -#endif - -#ifndef CONFIG_AHBSTAT_NFTSLV -#define CONFIG_AHBSTAT_NFTSLV 1 -#endif - -#ifndef CONFIG_AHBROM_ENABLE -#define CONFIG_AHBROM_ENABLE 0 -#endif - -#ifndef CONFIG_AHBROM_START -#define CONFIG_AHBROM_START 000 -#endif - -#ifndef CONFIG_AHBROM_PIPE -#define CONFIG_AHBROM_PIPE 0 -#endif - -#if (CONFIG_AHBROM_START == 0) && (CONFIG_AHBROM_ENABLE == 1) -#define CONFIG_ROM_START 100 -#else -#define CONFIG_ROM_START 000 -#endif - - -#ifndef CONFIG_AHBRAM_ENABLE -#define CONFIG_AHBRAM_ENABLE 0 -#endif - -#ifndef CONFIG_AHBRAM_START -#define CONFIG_AHBRAM_START A00 -#endif - -#if defined CONFIG_AHBRAM_SZ1 -#define CFG_AHBRAMSZ 1 -#elif CONFIG_AHBRAM_SZ2 -#define CFG_AHBRAMSZ 2 -#elif CONFIG_AHBRAM_SZ4 -#define CFG_AHBRAMSZ 4 -#elif CONFIG_AHBRAM_SZ8 -#define CFG_AHBRAMSZ 8 -#elif CONFIG_AHBRAM_SZ16 -#define CFG_AHBRAMSZ 16 -#elif CONFIG_AHBRAM_SZ32 -#define CFG_AHBRAMSZ 32 -#elif CONFIG_AHBRAM_SZ64 -#define CFG_AHBRAMSZ 64 -#else -#define CFG_AHBRAMSZ 1 -#endif - -#ifndef CONFIG_GRETH_ENABLE -#define CONFIG_GRETH_ENABLE 0 -#endif - -#ifndef CONFIG_GRETH_GIGA -#define CONFIG_GRETH_GIGA 0 -#endif - -#if defined CONFIG_GRETH_FIFO4 -#define CFG_GRETH_FIFO 4 -#elif defined CONFIG_GRETH_FIFO8 -#define CFG_GRETH_FIFO 8 -#elif defined CONFIG_GRETH_FIFO16 -#define CFG_GRETH_FIFO 16 -#elif defined CONFIG_GRETH_FIFO32 -#define CFG_GRETH_FIFO 32 -#elif defined CONFIG_GRETH_FIFO64 -#define CFG_GRETH_FIFO 64 -#else -#define CFG_GRETH_FIFO 8 -#endif - -#ifndef CONFIG_UART1_ENABLE -#define CONFIG_UART1_ENABLE 0 -#endif - -#if defined CONFIG_UA1_FIFO1 -#define CFG_UA1_FIFO 1 -#elif defined CONFIG_UA1_FIFO2 -#define CFG_UA1_FIFO 2 -#elif defined CONFIG_UA1_FIFO4 -#define CFG_UA1_FIFO 4 -#elif defined CONFIG_UA1_FIFO8 -#define CFG_UA1_FIFO 8 -#elif defined CONFIG_UA1_FIFO16 -#define CFG_UA1_FIFO 16 -#elif defined CONFIG_UA1_FIFO32 -#define CFG_UA1_FIFO 32 -#else -#define CFG_UA1_FIFO 1 -#endif - -#ifndef CONFIG_IRQ3_ENABLE -#define CONFIG_IRQ3_ENABLE 0 -#endif -#ifndef CONFIG_IRQ3_NSEC -#define CONFIG_IRQ3_NSEC 0 -#endif -#ifndef CONFIG_GPT_ENABLE -#define CONFIG_GPT_ENABLE 0 -#endif - -#ifndef CONFIG_GPT_NTIM -#define CONFIG_GPT_NTIM 1 -#endif - -#ifndef CONFIG_GPT_SW -#define CONFIG_GPT_SW 8 -#endif - -#ifndef CONFIG_GPT_TW -#define CONFIG_GPT_TW 8 -#endif - -#ifndef CONFIG_GPT_IRQ -#define CONFIG_GPT_IRQ 8 -#endif - -#ifndef CONFIG_GPT_SEPIRQ -#define CONFIG_GPT_SEPIRQ 0 -#endif -#ifndef CONFIG_GPT_ENABLE -#define CONFIG_GPT_ENABLE 0 -#endif - -#ifndef CONFIG_GPT_NTIM -#define CONFIG_GPT_NTIM 1 -#endif - -#ifndef CONFIG_GPT_SW -#define CONFIG_GPT_SW 8 -#endif - -#ifndef CONFIG_GPT_TW -#define CONFIG_GPT_TW 8 -#endif - -#ifndef CONFIG_GPT_IRQ -#define CONFIG_GPT_IRQ 8 -#endif - -#ifndef CONFIG_GPT_SEPIRQ -#define CONFIG_GPT_SEPIRQ 0 -#endif - -#ifndef CONFIG_GPT_WDOGEN -#define CONFIG_GPT_WDOGEN 0 -#endif - -#ifndef CONFIG_GPT_WDOG -#define CONFIG_GPT_WDOG 0 -#endif - -#ifndef CONFIG_GRGPIO_ENABLE -#define CONFIG_GRGPIO_ENABLE 0 -#endif -#ifndef CONFIG_GRGPIO_IMASK -#define CONFIG_GRGPIO_IMASK 0000 -#endif -#ifndef CONFIG_GRGPIO_WIDTH -#define CONFIG_GRGPIO_WIDTH 1 -#endif - -#ifndef CONFIG_VGA_ENABLE -#define CONFIG_VGA_ENABLE 0 -#endif -#ifndef CONFIG_SVGA_ENABLE -#define CONFIG_SVGA_ENABLE 0 -#endif -#ifndef CONFIG_KBD_ENABLE -#define CONFIG_KBD_ENABLE 0 -#endif - - -#ifndef CONFIG_SPIMCTRL -#define CONFIG_SPIMCTRL 0 -#endif - -#ifndef CONFIG_SPIMCTRL_SDCARD -#define CONFIG_SPIMCTRL_SDCARD 0 -#endif - -#ifndef CONFIG_SPIMCTRL_READCMD -#define CONFIG_SPIMCTRL_READCMD 0 -#endif - -#ifndef CONFIG_SPIMCTRL_DUMMYBYTE -#define CONFIG_SPIMCTRL_DUMMYBYTE 0 -#endif - -#ifndef CONFIG_SPIMCTRL_DUALOUTPUT -#define CONFIG_SPIMCTRL_DUALOUTPUT 0 -#endif - -#ifndef CONFIG_SPIMCTRL_SCALER -#define CONFIG_SPIMCTRL_SCALER 1 -#endif - -#ifndef CONFIG_SPIMCTRL_ASCALER -#define CONFIG_SPIMCTRL_ASCALER 1 -#endif - -#ifndef CONFIG_SPIMCTRL_PWRUPCNT -#define CONFIG_SPIMCTRL_PWRUPCNT 0 -#endif -#ifndef CONFIG_SPICTRL_ENABLE -#define CONFIG_SPICTRL_ENABLE 0 -#endif -#ifndef CONFIG_SPICTRL_NUM -#define CONFIG_SPICTRL_NUM 1 -#endif -#ifndef CONFIG_SPICTRL_SLVS -#define CONFIG_SPICTRL_SLVS 1 -#endif -#ifndef CONFIG_SPICTRL_FIFO -#define CONFIG_SPICTRL_FIFO 1 -#endif -#ifndef CONFIG_SPICTRL_SLVREG -#define CONFIG_SPICTRL_SLVREG 0 -#endif -#ifndef CONFIG_SPICTRL_ODMODE -#define CONFIG_SPICTRL_ODMODE 0 -#endif -#ifndef CONFIG_SPICTRL_AM -#define CONFIG_SPICTRL_AM 0 -#endif -#ifndef CONFIG_SPICTRL_ASEL -#define CONFIG_SPICTRL_ASEL 0 -#endif -#ifndef CONFIG_SPICTRL_TWEN -#define CONFIG_SPICTRL_TWEN 0 -#endif -#ifndef CONFIG_SPICTRL_MAXWLEN -#define CONFIG_SPICTRL_MAXWLEN 0 -#endif -#ifndef CONFIG_SPICTRL_SYNCRAM -#define CONFIG_SPICTRL_SYNCRAM 0 -#endif -#if defined(CONFIG_SPICTRL_DMRFT) -#define CONFIG_SPICTRL_FT 1 -#elif defined(CONFIG_SPICTRL_TMRFT) -#define CONFIG_SPICTRL_FT 2 -#else -#define CONFIG_SPICTRL_FT 0 -#endif - -#ifndef CONFIG_DEBUG_UART -#define CONFIG_DEBUG_UART 0 -#endif diff --git a/designs/beaglebone-test1/vga_clkgen.vhd b/designs/beaglebone-test1/vga_clkgen.vhd deleted file mode 100644 --- a/designs/beaglebone-test1/vga_clkgen.vhd +++ /dev/null @@ -1,65 +0,0 @@ ------------------------------------------------------------------------------- --- This file is a part of the GRLIB VHDL IP LIBRARY --- Copyright (C) 2003 - 2008, Gaisler Research --- Copyright (C) 2008 - 2012, Aeroflex Gaisler --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA - -library ieee; -use ieee.std_logic_1164.all; --- pragma translate_off -library unisim; -use unisim.BUFG; --- pragma translate_on -library techmap; -use techmap.gencomp.all; -use techmap.allclkgen.all; - - -entity vga_clkgen is - port ( - resetn : in std_logic; - sel : in std_logic_vector(1 downto 0); - clk25 : in std_logic; - clkm : in std_logic; - clk50 : in std_logic; - clkout : out std_logic - ); -end; - -architecture struct of vga_clkgen is -component BUFG port ( O : out std_logic; I : in std_logic); end component; -signal clk65, clksel : std_logic; - -begin - - -- 65 MHz clock generator - - clkgen65 : clkmul_virtex2 generic map (13, 5) port map (resetn, clk25, clk65); - - clk_select : process (clk25, clk50, clk65, sel) - begin - case sel is - when "00" => clksel <= clk25; - when "01" => clksel <= clkm; - when "10" => clksel <= clk50; - when "11" => clksel <= clk65; - when others => clksel <= '0'; - end case; - end process; - - bufg1 : BUFG port map (I => clksel, O => clkout); - -end; diff --git a/designs/beaglebone-test1/wave.do b/designs/beaglebone-test1/wave.do deleted file mode 100644 --- a/designs/beaglebone-test1/wave.do +++ /dev/null @@ -1,107 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -radix hexadecimal /testbench/cpu/address -add wave -noupdate -radix hexadecimal /testbench/cpu/data -add wave -noupdate /testbench/cpu/oen -add wave -noupdate /testbench/cpu/writen -add wave -noupdate /testbench/cpu/romsn -add wave -noupdate /testbench/cpu/ddr_clk -add wave -noupdate /testbench/cpu/ddr_clkb -add wave -noupdate /testbench/cpu/ddr_cke -add wave -noupdate /testbench/cpu/ddr_odt -add wave -noupdate /testbench/cpu/ddr_reset_n -add wave -noupdate /testbench/cpu/ddr_we -add wave -noupdate /testbench/cpu/ddr_ras -add wave -noupdate /testbench/cpu/ddr_cas -add wave -noupdate /testbench/cpu/ddr_dm -add wave -noupdate /testbench/cpu/ddr_dqs -add wave -noupdate /testbench/cpu/ddr_dqs_n -add wave -noupdate -radix hexadecimal /testbench/cpu/ddr_ad -add wave -noupdate -radix hexadecimal /testbench/cpu/ddr_ba -add wave -noupdate -radix hexadecimal /testbench/cpu/ddr_dq -add wave -noupdate /testbench/cpu/ddr_rzq -add wave -noupdate /testbench/cpu/ddr_zio -add wave -noupdate /testbench/cpu/txd1 -add wave -noupdate /testbench/cpu/rxd1 -add wave -noupdate /testbench/cpu/ctsn1 -add wave -noupdate /testbench/cpu/rtsn1 -add wave -noupdate /testbench/cpu/switch -add wave -noupdate /testbench/cpu/led -add wave -noupdate /testbench/cpu/iic_scl -add wave -noupdate /testbench/cpu/iic_sda -add wave -noupdate /testbench/cpu/ddc_scl -add wave -noupdate /testbench/cpu/ddc_sda -add wave -noupdate /testbench/cpu/dvi_iic_scl -add wave -noupdate /testbench/cpu/dvi_iic_sda -add wave -noupdate /testbench/cpu/tft_lcd_data -add wave -noupdate /testbench/cpu/tft_lcd_clk_p -add wave -noupdate /testbench/cpu/tft_lcd_clk_n -add wave -noupdate /testbench/cpu/tft_lcd_hsync -add wave -noupdate /testbench/cpu/tft_lcd_vsync -add wave -noupdate /testbench/cpu/tft_lcd_de -add wave -noupdate /testbench/cpu/tft_lcd_reset_b -add wave -noupdate /testbench/cpu/spi_sel_n -add wave -noupdate /testbench/cpu/spi_clk -add wave -noupdate /testbench/cpu/spi_mosi -add wave -noupdate -radix hexadecimal /testbench/cpu/apbi -add wave -noupdate -radix hexadecimal /testbench/cpu/apbo -add wave -noupdate -radix hexadecimal /testbench/cpu/ahbsi -add wave -noupdate -radix hexadecimal /testbench/cpu/ahbso -add wave -noupdate -radix hexadecimal /testbench/cpu/ahbmi -add wave -noupdate -radix hexadecimal /testbench/cpu/ahbmo -add wave -noupdate /testbench/cpu/clkm -add wave -noupdate /testbench/cpu/rstn -add wave -noupdate /testbench/cpu/rstraw -add wave -noupdate /testbench/cpu/mig_gen/ddrc/MCB_inst/c3_sys_clk -add wave -noupdate /testbench/cpu/mig_gen/ddrc/MCB_inst/c3_calib_done -add wave -noupdate /testbench/cpu/vgadvi/dvi0/clk -add wave -noupdate /testbench/cpu/vgadvi/dvi0/vgao -add wave -noupdate /testbench/cpu/vgadvi/dvi0/vgaclk -add wave -noupdate /testbench/cpu/vgadvi/dvi0/dclk_p -add wave -noupdate /testbench/cpu/vgadvi/dvi0/dclk_n -add wave -noupdate /testbench/cpu/svga/svga0/vgaclk -add wave -noupdate -radix hexadecimal /testbench/cpu/svga/svga0/vgao -add wave -noupdate -radix hexadecimal /testbench/cpu/svga/svga0/clk_sel -add wave -noupdate -radix hexadecimal /testbench/cpu/svga/svga0/t -add wave -noupdate -radix hexadecimal /testbench/cpu/svga/svga0/r -add wave -noupdate -radix hexadecimal /testbench/cpu/svga/svga0/dmai -add wave -noupdate -radix hexadecimal /testbench/cpu/svga/svga0/dmao -add wave -noupdate /testbench/cpu/mig_gen/ddrc/calib_done -add wave -noupdate -radix hexadecimal /testbench/cpu/mig_gen/ddrc/r -add wave -noupdate -radix hexadecimal /testbench/cpu/mig_gen/ddrc/i -add wave -noupdate -radix hexadecimal /testbench/cpu/mig_gen/ddrc/ahbmi -add wave -noupdate -radix hexadecimal /testbench/cpu/mig_gen/ddrc/ahbmo -add wave -noupdate -radix hexadecimal /testbench/cpu/mig_gen/ddrc/r2 -add wave -noupdate -radix hexadecimal /testbench/cpu/mig_gen/ddrc/p2 -add wave -noupdate /testbench/cpu/phy_gtx_clk -add wave -noupdate /testbench/cpu/phy_mii_data -add wave -noupdate /testbench/cpu/phy_tx_clk -add wave -noupdate /testbench/cpu/phy_rx_clk -add wave -noupdate /testbench/cpu/phy_rx_data -add wave -noupdate /testbench/cpu/phy_dv -add wave -noupdate /testbench/cpu/phy_rx_er -add wave -noupdate /testbench/cpu/phy_col -add wave -noupdate /testbench/cpu/phy_crs -add wave -noupdate /testbench/cpu/phy_tx_data -add wave -noupdate /testbench/cpu/phy_tx_en -add wave -noupdate /testbench/cpu/phy_tx_er -add wave -noupdate /testbench/cpu/phy_mii_clk -add wave -noupdate /testbench/cpu/phy_rst_n -add wave -noupdate /testbench/cpu/egtx_clk -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 3} {3787500 ps} 0} {{Cursor 3} {3807500 ps} 0} -configure wave -namecolwidth 212 -configure wave -valuecolwidth 100 -configure wave -justifyvalue left -configure wave -signalnamewidth 0 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {48575 ps} {76724 ps} diff --git a/lib/lpp/leon3mp.vhd b/lib/lpp/leon3mp.vhd deleted file mode 100644 --- a/lib/lpp/leon3mp.vhd +++ /dev/null @@ -1,375 +0,0 @@ ------------------------------------------------------------------------------ --- LEON3 Demonstration design --- Copyright (C) 2004 Jiri Gaisler, Gaisler Research --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- - - -library ieee; -use ieee.std_logic_1164.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; -library techmap; -use techmap.gencomp.all; -library gaisler; -use gaisler.memctrl.all; -use gaisler.leon3.all; -use gaisler.uart.all; -use gaisler.misc.all; -library esa; -use esa.memoryctrl.all; -use work.config.all; -library lpp; -use lpp.lpp_amba.all; -use lpp.lpp_memory.all; -use lpp.lpp_uart.all; -use lpp.lpp_matrix.all; -use lpp.lpp_delay.all; -use lpp.lpp_fft.all; -use lpp.fft_components.all; -use lpp.lpp_ad_conv.all; -use lpp.iir_filter.all; -use lpp.general_purpose.all; -use lpp.Filtercfg.all; -use lpp.lpp_cna.all; - -entity leon3mp is - generic ( - fabtech : integer := CFG_FABTECH; - memtech : integer := CFG_MEMTECH; - padtech : integer := CFG_PADTECH; - clktech : integer := CFG_CLKTECH; - disas : integer := CFG_DISAS; -- Enable disassembly to console - dbguart : integer := CFG_DUART; -- Print UART on console - pclow : integer := CFG_PCLOW - ); - port ( - clk50MHz : in std_ulogic; - reset : in std_ulogic; - ramclk : out std_logic; - - ahbrxd : in std_ulogic; -- DSU rx data - ahbtxd : out std_ulogic; -- DSU tx data - dsubre : in std_ulogic; - dsuact : out std_ulogic; - urxd1 : in std_ulogic; -- UART1 rx data - utxd1 : out std_ulogic; -- UART1 tx data - errorn : out std_ulogic; - - address : out std_logic_vector(18 downto 0); - data : inout std_logic_vector(31 downto 0); - gpio : inout std_logic_vector(6 downto 0); -- I/O port - - nBWa : out std_logic; - nBWb : out std_logic; - nBWc : out std_logic; - nBWd : out std_logic; - nBWE : out std_logic; - nADSC : out std_logic; - nADSP : out std_logic; - nADV : out std_logic; - nGW : out std_logic; - nCE1 : out std_logic; - CE2 : out std_logic; - nCE3 : out std_logic; - nOE : out std_logic; - MODE : out std_logic; - SSRAM_CLK : out std_logic; - ZZ : out std_logic; ---------------------------------------------------------------------- ---- AJOUT TEST ------------------------In/Out----------------------- ---------------------------------------------------------------------- --- DAC - DAC_EN : out std_logic; - DAC_SYNC : out std_logic; - DAC_SCLK : out std_logic; - DAC_DATA : out std_logic; --- UART - UART_RXD : in std_logic; - UART_TXD : out std_logic; ---------------------------------------------------------------------- - led : out std_logic_vector(1 downto 0) - ); -end; - -architecture Behavioral of leon3mp is - -constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+ - CFG_GRETH+CFG_AHB_JTAG; -constant maxahbm : integer := maxahbmsp; - ---Clk & Rst g�n� -signal vcc : std_logic_vector(4 downto 0); -signal gnd : std_logic_vector(4 downto 0); -signal resetnl : std_ulogic; -signal clk2x : std_ulogic; -signal lclk : std_ulogic; -signal lclk2x : std_ulogic; -signal clkm : std_ulogic; -signal rstn : std_ulogic; -signal rstraw : std_ulogic; -signal pciclk : std_ulogic; -signal sdclkl : std_ulogic; -signal cgi : clkgen_in_type; -signal cgo : clkgen_out_type; ---- AHB / APB -signal apbi : apb_slv_in_type; -signal apbo : apb_slv_out_vector := (others => apb_none); -signal ahbsi : ahb_slv_in_type; -signal ahbso : ahb_slv_out_vector := (others => ahbs_none); -signal ahbmi : ahb_mst_in_type; -signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); ---UART -signal ahbuarti : uart_in_type; -signal ahbuarto : uart_out_type; -signal apbuarti : uart_in_type; -signal apbuarto : uart_out_type; ---MEM CTRLR -signal memi : memory_in_type; -signal memo : memory_out_type; -signal wpo : wprot_out_type; -signal sdo : sdram_out_type; ---IRQ -signal irqi : irq_in_vector(0 to CFG_NCPU-1); -signal irqo : irq_out_vector(0 to CFG_NCPU-1); ---Timer -signal gpti : gptimer_in_type; -signal gpto : gptimer_out_type; ---GPIO -signal gpioi : gpio_in_type; -signal gpioo : gpio_out_type; ---DSU -signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); -signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); -signal dsui : dsu_in_type; -signal dsuo : dsu_out_type; - ---------------------------------------------------------------------- ---- AJOUT TEST ------------------------Signaux---------------------- ---------------------------------------------------------------------- - ---------------------------------------------------------------------- -constant IOAEN : integer := CFG_CAN; -constant boardfreq : integer := 50000; - -begin - ---------------------------------------------------------------------- ---- AJOUT TEST -------------------------------------IPs------------- ---------------------------------------------------------------------- - --- apbo not free : 0 1 2 3 7 11 - ---- DAC ------------------------------------------------------------- - - CAL0 : APB_CNA - generic map (pindex => 4, paddr => 4) - port map(clkm,rstn,apbi,apbo(4),DAC_EN,DAC_SYNC,DAC_SCLK,DAC_DATA); - - ---- UART ------------------------------------------------------------- - - COM0 : APB_UART - generic map (pindex => 5, paddr => 5) - port map (clkm,rstn,apbi,apbo(5),UART_TXD,UART_RXD); - - ---- FIFO ------------------------------------------------------------- - - Memtest : APB_FIFO - generic map (pindex => 6, paddr => 6, FifoCnt => 5, Data_sz => 16, Addr_sz => 8, Enable_ReUse => '1', R => 1, W => 1) - port map (clkm,rstn,clkm,clkm,(others => '0'),(others => '1'),(others => '1'),open,open,open,(others => '0'),open,open,apbi,apbo(6)); - - ----------------------------------------------------------------------- ---- Reset and Clock generation ------------------------------------- ----------------------------------------------------------------------- - - vcc <= (others => '1'); gnd <= (others => '0'); - cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; - - rst0 : rstgen port map (reset, clkm, cgo.clklock, rstn, rstraw); - - - clk_pad : clkpad generic map (tech => padtech) port map (clk50MHz, lclk2x); - - clkgen0 : clkgen -- clock generator - generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN, - CFG_CLK_NOFB, 0, 0, 0, boardfreq, 0, 0, CFG_OCLKDIV) - port map (lclk, lclk, clkm, open, clk2x, sdclkl, pciclk, cgi, cgo); - - ramclk <= clkm; -process(lclk2x) -begin - if lclk2x'event and lclk2x = '1' then - lclk <= not lclk; - end if; -end process; - ----------------------------------------------------------------------- ---- LEON3 processor / DSU / IRQ ------------------------------------ ----------------------------------------------------------------------- - - l3 : if CFG_LEON3 = 1 generate - cpu : for i in 0 to CFG_NCPU-1 generate - u0 : leon3s -- LEON3 processor - generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, - 0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, - CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, - CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, - CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, - CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1) - port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, - irqi(i), irqo(i), dbgi(i), dbgo(i)); - end generate; - errorn_pad : outpad generic map (tech => padtech) port map (errorn, dbgo(0).error); - - dsugen : if CFG_DSU = 1 generate - dsu0 : dsu3 -- LEON3 Debug Support Unit - generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, - ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) - port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); --- dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); - dsui.enable <= '1'; - dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); - dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); - end generate; - end generate; - - nodsu : if CFG_DSU = 0 generate - ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; - end generate; - - irqctrl : if CFG_IRQ3_ENABLE /= 0 generate - irqctrl0 : irqmp -- interrupt controller - generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) - port map (rstn, clkm, apbi, apbo(2), irqo, irqi); - end generate; - irq3 : if CFG_IRQ3_ENABLE = 0 generate - x : for i in 0 to CFG_NCPU-1 generate - irqi(i).irl <= "0000"; - end generate; - apbo(2) <= apb_none; - end generate; - ----------------------------------------------------------------------- ---- Memory controllers --------------------------------------------- ----------------------------------------------------------------------- - - memctrlr : mctrl generic map (hindex => 0,pindex => 0, paddr => 0) - port map (rstn, clkm, memi, memo, ahbsi, ahbso(0),apbi,apbo(0),wpo, sdo); - - memi.brdyn <= '1'; memi.bexcn <= '1'; - memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10"; - - bdr : for i in 0 to 3 generate - data_pad : iopadv generic map (tech => padtech, width => 8) - port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8), - memo.bdrive(i), memi.data(31-i*8 downto 24-i*8)); - end generate; - - - addr_pad : outpadv generic map (width => 19, tech => padtech) - port map (address, memo.address(20 downto 2)); - - - SSRAM_0:entity ssram_plugin - generic map (tech => padtech) - port map - (lclk2x,memo,SSRAM_CLK,nBWa,nBWb,nBWc,nBWd,nBWE,nADSC,nADSP,nADV,nGW,nCE1,CE2,nCE3,nOE,MODE,ZZ); - ----------------------------------------------------------------------- ---- AHB CONTROLLER ------------------------------------------------- ----------------------------------------------------------------------- - - ahb0 : ahbctrl -- AHB arbiter/multiplexer - generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, - rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, - ioen => IOAEN, nahbm => maxahbm, nahbs => 8) - port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); - ----------------------------------------------------------------------- ---- AHB UART ------------------------------------------------------- ----------------------------------------------------------------------- - - dcomgen : if CFG_AHB_UART = 1 generate - dcom0: ahbuart -- Debug UART - generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7) - port map (rstn, clkm, ahbuarti, ahbuarto, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU)); - dsurx_pad : inpad generic map (tech => padtech) port map (ahbrxd, ahbuarti.rxd); - dsutx_pad : outpad generic map (tech => padtech) port map (ahbtxd, ahbuarto.txd); --- led(0) <= not ahbuarti.rxd; led(1) <= not ahbuarto.txd; - end generate; - nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate; - ----------------------------------------------------------------------- ---- APB Bridge ----------------------------------------------------- ----------------------------------------------------------------------- - - apb0 : apbctrl -- AHB/APB bridge - generic map (hindex => 1, haddr => CFG_APBADDR) - port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); - ----------------------------------------------------------------------- ---- GPT Timer ------------------------------------------------------ ----------------------------------------------------------------------- - - gpt : if CFG_GPT_ENABLE /= 0 generate - timer0 : gptimer -- timer unit - generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, - sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, - nbits => CFG_GPT_TW) - port map (rstn, clkm, apbi, apbo(3), gpti, gpto); - gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; --- led(4) <= gpto.wdog; - end generate; - notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; - - ----------------------------------------------------------------------- ---- APB UART ------------------------------------------------------- ----------------------------------------------------------------------- - - ua1 : if CFG_UART1_ENABLE /= 0 generate - uart1 : apbuart -- UART 1 - generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, - fifosize => CFG_UART1_FIFO) - port map (rstn, clkm, apbi, apbo(1), ahbuarti, apbuarto); - apbuarti.rxd <= urxd1; apbuarti.extclk <= '0'; utxd1 <= apbuarto.txd; - apbuarti.ctsn <= '0'; --rtsn1 <= apbuarto.rtsn; --- led(0) <= not apbuarti.rxd; led(1) <= not apbuarto.txd; - end generate; - noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; - ----------------------------------------------------------------------- ---- GPIO ----------------------------------------------------------- ----------------------------------------------------------------------- -led(0) <= gpio(0); led(1) <= gpio(1); - - gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO unit - grgpio0: grgpio - generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 7) - port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo); - - pio_pads : for i in 0 to 6 generate - pio_pad : iopad generic map (tech => padtech) - port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); - end generate; - end generate; - - -end Behavioral; \ No newline at end of file diff --git a/lib/lpp/lpp_memory/APB_FIFO.vhd.bak b/lib/lpp/lpp_memory/APB_FIFO.vhd.bak deleted file mode 100644 --- a/lib/lpp/lpp_memory/APB_FIFO.vhd.bak +++ /dev/null @@ -1,264 +0,0 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 3 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Author : Alexis Jeandet --- Mail : alexis.jeandet@lpp.polytechnique.fr ------------------------------------------------------------------------------- --- APB_FIFO.vhd -library ieee; -use ieee.std_logic_1164.all; -use IEEE.numeric_std.all; -library techmap; -use techmap.gencomp.all; -library grlib; -use grlib.amba.all; -use grlib.stdlib.all; -use grlib.devices.all; -library lpp; -use lpp.lpp_amba.all; -use lpp.apb_devices_list.all; -use lpp.lpp_memory.all; - - -entity APB_FIFO is -generic ( - tech : integer := apa3; - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8; - FifoCnt : integer := 2; - Data_sz : integer := 16; - Addr_sz : integer := 9; - Enable_ReUse : std_logic := '0'; - Mem_use : integer := use_RAM; - R : integer := 1; - W : integer := 1 - ); - port ( - clk : in std_logic; --! Horloge du composant - rst : in std_logic; --! Reset general du composant - rclk : in std_logic; - wclk : in std_logic; - ReUse : in std_logic_vector(FifoCnt-1 downto 0); - REN : in std_logic_vector(FifoCnt-1 downto 0); --! Instruction de lecture en m�moire - WEN : in std_logic_vector(FifoCnt-1 downto 0); --! Instruction d'�criture en m�moire - Empty : out std_logic_vector(FifoCnt-1 downto 0); --! Flag, M�moire vide - Full : out std_logic_vector(FifoCnt-1 downto 0); --! Flag, M�moire pleine - RDATA : out std_logic_vector((FifoCnt*Data_sz)-1 downto 0); --! Registre de donn�es en entr�e - WDATA : in std_logic_vector((FifoCnt*Data_sz)-1 downto 0); --! Registre de donn�es en sortie - WADDR : out std_logic_vector((FifoCnt*Addr_sz)-1 downto 0); --! Registre d'addresse (�criture) - RADDR : out std_logic_vector((FifoCnt*Addr_sz)-1 downto 0); --! Registre d'addresse (lecture) - apbi : in apb_slv_in_type; --! Registre de gestion des entr�es du bus - apbo : out apb_slv_out_type --! Registre de gestion des sorties du bus - ); -end entity; - -architecture ar_APB_FIFO of APB_FIFO is - -constant REVISION : integer := 1; - -constant pconfig : apb_config_type := ( - 0 => ahb_device_reg (VENDOR_LPP, LPP_FIFO_PID, 0, REVISION, 0), - 1 => apb_iobar(paddr, pmask)); - -type FIFO_ctrlr_Reg is record - FIFO_Ctrl : std_logic_vector(31 downto 0); - FIFO_Wdata : std_logic_vector(Data_sz-1 downto 0); - FIFO_Rdata : std_logic_vector(Data_sz-1 downto 0); -end record; - -type FIFO_ctrlr_Reg_Vec is array(FifoCnt-1 downto 0) of FIFO_ctrlr_Reg; -type fifodatabus is array(FifoCnt-1 downto 0) of std_logic_vector(Data_sz-1 downto 0); -type fifoaddressbus is array(FifoCnt-1 downto 0) of std_logic_vector(Addr_sz-1 downto 0); - -signal Rec : FIFO_ctrlr_Reg_Vec; -signal PRdata : std_logic_vector(31 downto 0); -signal FIFO_ID : std_logic_vector(31 downto 0); -signal autoloaded : std_logic_vector(FifoCnt-1 downto 0); -signal sFull : std_logic_vector(FifoCnt-1 downto 0); -signal sEmpty : std_logic_vector(FifoCnt-1 downto 0); -signal sEmpty_d : std_logic_vector(FifoCnt-1 downto 0); -signal sWen : std_logic_vector(FifoCnt-1 downto 0); -signal sRen : std_logic_vector(FifoCnt-1 downto 0); -signal sRclk : std_logic; -signal sWclk : std_logic; -signal sWen_APB : std_logic_vector(FifoCnt-1 downto 0); -signal sRen_APB : std_logic_vector(FifoCnt-1 downto 0); -signal sRDATA : fifodatabus; -signal sWDATA : fifodatabus; -signal sWADDR : fifoaddressbus; -signal sRADDR : fifoaddressbus; -signal sReUse : std_logic_vector(FifoCnt-1 downto 0); -signal sReUse_APB : std_logic_vector(FifoCnt-1 downto 0); - -signal regDataValid : std_logic_vector(FifoCnt-1 downto 0); -signal regData : fifodatabus; -signal regREN : std_logic_vector(FifoCnt-1 downto 0); - -type state_t is (idle,Read); -signal fiforeadfsmst : state_t; - -begin - -FIFO_ID(3 downto 0) <= std_logic_vector(to_unsigned(FifoCnt,4)); -FIFO_ID(15 downto 8) <= std_logic_vector(to_unsigned(Data_sz,8)); -FIFO_ID(23 downto 16) <= std_logic_vector(to_unsigned(Addr_sz,8)); - - -Writeint : if W /= 0 generate - FIFO_ID(4) <= '1'; - sWen <= sWen_APB; - sReUse <= sReUse_APB; - sWclk <= clk; - Wrapb: for i in 0 to FifoCnt-1 generate - sWDATA(i) <= Rec(i).FIFO_Wdata; - end generate; -end generate; - -Writeext : if W = 0 generate - FIFO_ID(4) <= '0'; - sWen <= WEN; - sReUse <= ReUse; - sWclk <= Wclk; - Wrext: for i in 0 to FifoCnt-1 generate - sWDATA(i) <= WDATA((Data_sz*(i+1)-1) downto (Data_sz)*i); - end generate; -end generate; - -Readint : if R /= 0 generate - FIFO_ID(5) <= '1'; - sRen <= sRen_APB; - srclk <= clk; - Rdapb: for i in 0 to FifoCnt-1 generate - Rec(i).FIFO_Rdata <= sRDATA(i); - end generate; -end generate; - -Readext : if R = 0 generate - FIFO_ID(5) <= '0'; - sRen <= REN; - srclk <= rclk; - Drext: for i in 0 to FifoCnt-1 generate - RDATA((Data_sz*(i+1))-1 downto (Data_sz)*i) <= sRDATA(i); - end generate; -end generate; - -ctrlregs: for i in 0 to FifoCnt-1 generate - RADDR((Addr_sz*(i+1))-1 downto (Addr_sz)*i) <= sRADDR(i); - WADDR((Addr_sz*(i+1))-1 downto (Addr_sz)*i) <= sWADDR(i); - Rec(i).FIFO_Ctrl(16) <= sFull(i); - sReUse_APB(i) <= Rec(i).FIFO_Ctrl(1); - Rec(i).FIFO_Ctrl(3 downto 2) <= "00"; - Rec(i).FIFO_Ctrl(19 downto 17) <= "000"; - Rec(i).FIFO_Ctrl(Addr_sz+3 downto 4) <= sRADDR(i); - Rec(i).FIFO_Ctrl((Addr_sz+19) downto 20) <= sWADDR(i); -end generate; - -Empty <= sEmpty; -Full <= sFull; - -fifos: for i in 0 to FifoCnt-1 generate - FIFO0 : lpp_fifo - generic map (tech,Mem_use,Enable_ReUse,Data_sz,Addr_sz) - port map(rst,sReUse(i),srclk,sRen(i),sRDATA(i),sEmpty(i),sRADDR(i),swclk,sWen(i),sWDATA(i),sFull(i),sWADDR(i)); -end generate; - - process(rst,clk) - begin - if(rst='0')then - rstloop1: for i in 0 to FifoCnt-1 loop - Rec(i).FIFO_Wdata <= (others => '0'); - Rec(i).FIFO_Ctrl(1) <= '0'; -- ReUse - sWen_APB(i) <= '1'; - end loop; - elsif(clk'event and clk='1')then - - --APB Write OP - if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then - writelp: for i in 0 to FifoCnt-1 loop - if(conv_integer(apbi.paddr(abits-1 downto 2))=((2*i)+1)) then - Rec(i).FIFO_Ctrl(1) <= apbi.pwdata(1); - elsif(conv_integer(apbi.paddr(abits-1 downto 2))=((2*i)+2)) then - Rec(i).FIFO_Wdata <= apbi.pwdata(Data_sz-1 downto 0); - sWen_APB(i) <= '0'; - end if; - end loop; - else - sWen_APB <= (others =>'1'); - end if; - - --APB Read OP - if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then - if(apbi.paddr(abits-1 downto 2)="000000") then - PRdata <= FIFO_ID; - else - readlp: for i in 0 to FifoCnt-1 loop - if(conv_integer(apbi.paddr(abits-1 downto 2))=((2*i)+1)) then - PRdata <= Rec(i).FIFO_Ctrl; - elsif(conv_integer(apbi.paddr(abits-1 downto 2))=((2*i)+2)) then - PRdata(Data_sz-1 downto 0) <= Rec(i).FIFO_rdata; - end if; - end loop; - end if; - end if; - end if; - - apbo.pconfig <= pconfig; - -end process; -apbo.prdata <= PRdata when apbi.penable = '1'; - -process(rst,clk) - begin - if(rst='0')then - fiforeadfsmst <= idle; - rstloop: for i in 0 to FifoCnt-1 loop - sRen_APB(i) <= '1'; - autoloaded(i) <= '1'; - Rec(i).FIFO_Ctrl(0) <= sEmpty(i); - end loop; - elsif clk'event and clk = '1' then - sEmpty_d <= sEmpty; - case fiforeadfsmst is - when idle => - idlelp: for i in 0 to FifoCnt-1 loop - if((sEmpty_d(i) = '1' and sEmpty(i) = '0' and autoloaded(i) = '1')or((conv_integer(apbi.paddr(abits-1 downto 2))=((2*i)+2)) and (apbi.psel(pindex)='1' and apbi.penable='1' and apbi.pwrite='0'))) then - if(sEmpty_d(i) = '1' and sEmpty(i) = '0') then - autoloaded(i) <= '0'; - else - autoloaded(i) <= '1'; - end if; - sRen_APB(i) <= '0'; - fiforeadfsmst <= read; - Rec(i).FIFO_Ctrl(0) <= sEmpty(i); - else - sRen_APB(i) <= '1'; - end if; - end loop; - when read => - sRen_APB <= (others => '1'); - fiforeadfsmst <= idle; - when others => - fiforeadfsmst <= idle; - end case; - end if; -end process; - -end ar_APB_FIFO; \ No newline at end of file diff --git a/lib/lpp/lpp_memory/lppFIFOxN.vhd.bak b/lib/lpp/lpp_memory/lppFIFOxN.vhd.bak deleted file mode 100644 --- a/lib/lpp/lpp_memory/lppFIFOxN.vhd.bak +++ /dev/null @@ -1,65 +0,0 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2012, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 3 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Author : Martin Morlot --- Mail : martin.morlot@lpp.polytechnique.fr ------------------------------------------------------------------------------- -library IEEE; -use IEEE.std_logic_1164.all; -use IEEE.numeric_std.all; -library lpp; -use lpp.lpp_memory.all; -library techmap; -use techmap.gencomp.all; - -entity lppFIFOxN is -generic( - tech : integer := 0; - Mem_use : integer := use_RAM; - Data_sz : integer range 1 to 32 := 8; - Addr_sz : integer range 1 to 32 := 8; - FifoCnt : integer := 1; - Enable_ReUse : std_logic := '0' - ); -port( - rst : in std_logic; - wclk : in std_logic; - rclk : in std_logic; - ReUse : in std_logic_vector(FifoCnt-1 downto 0); - wen : in std_logic_vector(FifoCnt-1 downto 0); - ren : in std_logic_vector(FifoCnt-1 downto 0); - wdata : in std_logic_vector((FifoCnt*Data_sz)-1 downto 0); - rdata : out std_logic_vector((FifoCnt*Data_sz)-1 downto 0); - full : out std_logic_vector(FifoCnt-1 downto 0); - empty : out std_logic_vector(FifoCnt-1 downto 0) -); -end entity; - - -architecture ar_lppFIFOxN of lppFIFOxN is - -begin - -fifos: for i in 0 to FifoCnt-1 generate - FIFO0 : lpp_fifo - generic map (tech,Mem_use,Enable_ReUse,Data_sz,Addr_sz) - port map(rst,ReUse(i),rclk,ren(i),rdata((i+1)*Data_sz-1 downto i*Data_sz),empty(i),open,wclk,wen(i),wdata((i+1)*Data_sz-1 downto i*Data_sz),full(i),open); -end generate; - -end architecture; - diff --git a/lib/lpp/lpp_memory/lpp_memory.vhd.bak b/lib/lpp/lpp_memory/lpp_memory.vhd.bak deleted file mode 100644 --- a/lib/lpp/lpp_memory/lpp_memory.vhd.bak +++ /dev/null @@ -1,148 +0,0 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 3 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- --- Author : Martin Morlot --- Mail : martin.morlot@lpp.polytechnique.fr ------------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -library grlib; -use grlib.amba.all; -use std.textio.all; -library lpp; -use lpp.lpp_amba.all; -library gaisler; -use gaisler.misc.all; -use gaisler.memctrl.all; -library techmap; -use techmap.gencomp.all; - ---! Package contenant tous les programmes qui forment le composant int�gr� dans le l�on - -package lpp_memory is - -component APB_FIFO is -generic ( - tech : integer := apa3; - pindex : integer := 0; - paddr : integer := 0; - pmask : integer := 16#fff#; - pirq : integer := 0; - abits : integer := 8; - FifoCnt : integer := 2; - Data_sz : integer := 16; - Addr_sz : integer := 9; - Enable_ReUse : std_logic := '0'; - Mem_use : integer := use_RAM; - R : integer := 1; - W : integer := 1 - ); - port ( - clk : in std_logic; --! Horloge du composant - rst : in std_logic; --! Reset general du composant - rclk : in std_logic; - wclk : in std_logic; - ReUse : in std_logic_vector(FifoCnt-1 downto 0); - REN : in std_logic_vector(FifoCnt-1 downto 0); --! Instruction de lecture en m�moire - WEN : in std_logic_vector(FifoCnt-1 downto 0); --! Instruction d'�criture en m�moire - Empty : out std_logic_vector(FifoCnt-1 downto 0); --! Flag, M�moire vide - Full : out std_logic_vector(FifoCnt-1 downto 0); --! Flag, M�moire pleine - RDATA : out std_logic_vector((FifoCnt*Data_sz)-1 downto 0); --! Registre de donn�es en entr�e - WDATA : in std_logic_vector((FifoCnt*Data_sz)-1 downto 0); --! Registre de donn�es en sortie - WADDR : out std_logic_vector((FifoCnt*Addr_sz)-1 downto 0); --! Registre d'addresse (�criture) - RADDR : out std_logic_vector((FifoCnt*Addr_sz)-1 downto 0); --! Registre d'addresse (lecture) - apbi : in apb_slv_in_type; --! Registre de gestion des entr�es du bus - apbo : out apb_slv_out_type --! Registre de gestion des sorties du bus - ); -end component; - - -component lpp_fifo is -generic( - tech : integer := 0; - Mem_use : integer := use_RAM; - Enable_ReUse : std_logic := '0'; - DataSz : integer range 1 to 32 := 8; - abits : integer range 2 to 12 := 8 - ); -port( - rstn : in std_logic; - ReUse : in std_logic; --27/01/12 - rclk : in std_logic; - ren : in std_logic; - rdata : out std_logic_vector(DataSz-1 downto 0); - empty : out std_logic; - raddr : out std_logic_vector(abits-1 downto 0); - wclk : in std_logic; - wen : in std_logic; - wdata : in std_logic_vector(DataSz-1 downto 0); - full : out std_logic; - waddr : out std_logic_vector(abits-1 downto 0) -); -end component; - - -component lppFIFOxN is -generic( - tech : integer := 0; - Mem_use : integer := use_RAM; - Data_sz : integer range 1 to 32 := 8; - Addr_sz : integer range 1 to 32 := 8; - FifoCnt : integer := 1; - Enable_ReUse : std_logic := '0' - ); -port( - rst : in std_logic; - wclk : in std_logic; - rclk : in std_logic; - ReUse : in std_logic_vector(FifoCnt-1 downto 0); - wen : in std_logic_vector(FifoCnt-1 downto 0); - ren : in std_logic_vector(FifoCnt-1 downto 0); - wdata : in std_logic_vector((FifoCnt*Data_sz)-1 downto 0); - rdata : out std_logic_vector((FifoCnt*Data_sz)-1 downto 0); - full : out std_logic_vector(FifoCnt-1 downto 0); - empty : out std_logic_vector(FifoCnt-1 downto 0) -); -end component; - -component ssram_plugin is -generic (tech : integer := 0); -port -( - clk : in std_logic; - mem_ctrlr_o : in memory_out_type; - SSRAM_CLK : out std_logic; - nBWa : out std_logic; - nBWb : out std_logic; - nBWc : out std_logic; - nBWd : out std_logic; - nBWE : out std_logic; - nADSC : out std_logic; - nADSP : out std_logic; - nADV : out std_logic; - nGW : out std_logic; - nCE1 : out std_logic; - CE2 : out std_logic; - nCE3 : out std_logic; - nOE : out std_logic; - MODE : out std_logic; - ZZ : out std_logic -); -end component; - -end;