# HG changeset patch # User martin # Date 2012-02-24 15:25:23 # Node ID 2dfde37709a5346a306269d51222c7141fc62c12 # Parent 236e70a254cc8364ca55a81f1cec1d4acbfd344f Data line (FFT + Matrix) first version diff --git a/LPP_drivers/exemples/BenchFFT+Matrix/Makefile b/LPP_drivers/exemples/BenchFFT+Matrix/Makefile new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/BenchFFT+Matrix/Makefile @@ -0,0 +1,44 @@ +#------------------------------------------------------------------------------ +#-- This file is a part of the LPP VHDL IP LIBRARY +#-- Copyright (C) 2010, Laboratory of Plasmas Physic - CNRS +#-- +#-- This program is free software; you can redistribute it and/or modify +#-- it under the terms of the GNU General Public License as published by +#-- the Free Software Foundation; either version 3 of the License, or +#-- (at your option) any later version. +#-- +#-- This program is distributed in the hope that it will be useful, +#-- but WITHOUT ANY WARRANTY; without even the implied warranty of +#-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +#-- GNU General Public License for more details. +#-- +#-- You should have received a copy of the GNU General Public License +#-- along with this program; if not, write to the Free Software +#-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +#------------------------------------------------------------------------------ + +include ../../rules.mk +LIBDIR = ../../lib +INCPATH = ../../includes +SCRIPTDIR=../../scripts/ +LIBS=-lapb_fft_Driver -llpp_apb_functions -lapb_delay_Driver -lapb_fifo_Driver -lapb_uart_Driver -lapb_gpio_Driver +INPUTFILE=main.c +EXEC=BenchFFT+Matrix.bin +OUTBINDIR=bin/ + + +.PHONY:bin + +all:bin + @echo $(EXEC)" file created" + +clean: + rm -f *.{o,a} + + + +help:ruleshelp + @echo " all : makes an executable file called "$(EXEC) + @echo " in "$(OUTBINDIR) + @echo " clean : removes temporary files" + diff --git a/LPP_drivers/exemples/BenchFFT+Matrix/main.c b/LPP_drivers/exemples/BenchFFT+Matrix/main.c new file mode 100644 --- /dev/null +++ b/LPP_drivers/exemples/BenchFFT+Matrix/main.c @@ -0,0 +1,80 @@ +#include +#include "lpp_apb_functions.h" +#include "apb_fifo_Driver.h" +#include "apb_uart_Driver.h" +#include "apb_delay_Driver.h" +#include "apb_fft_Driver.h" + + +int main() +{ + int i; + int data1,data2; + char temp[256]; + int TblSinA[256] = {0x0000,0x0142,0x0282,0x03C2,0x04FF,0x0638,0x076E,0x08A0,0x09CC,0x0AF2,0x0C11,0x0D29,0x0E39,0x0F40,0x103E,0x1131,0x121A,0x12F8,0x13CA,0x1490,0x1549,0x15F5,0x1694,0x1724,0x17A7,0x181B,0x187F,0x18D5,0x191C,0x1953,0x197A,0x1992,0x199A,0x1992,0x197A,0x1953,0x191C,0x18D5,0x187F,0x181B,0x17A7,0x1724,0x1694,0x15F5,0x1549,0x1490,0x13CA,0x12F8,0x121A,0x1131,0x103E,0x0F40,0x0E39,0x0D29,0x0C11,0x0AF2,0x09CC,0x08A0,0x076E,0x0638,0x04FF,0x03C2,0x0282,0x0142,0x0000,0xFEBE,0xFD7E,0xFC3E,0xFB01,0xF9C8,0xF892,0xF760,0xF634,0xF50E,0xF3EF,0xF2D7,0xF1C7,0xF0C0,0xEFC2,0xEECF,0xEDE6,0xED08,0xEC36,0xEB70,0xEAB7,0xEA0B,0xE96C,0xE8DC,0xE859,0xE7E5,0xE781,0xE72B,0xE6E4,0xE6AD,0xE686,0xE66E,0xE666,0xE66E,0xE686,0xE6AD,0xE6E4,0xE72B,0xE781,0xE7E5,0xE859,0xE8DC,0xE96C,0xEA0B,0xEAB7,0xEB70,0xEC36,0xED08,0xEDE6,0xEECF,0xEFC2,0xF0C0,0xF1C7,0xF2D7,0xF3EF,0xF50E,0xF634,0xF760,0xF892,0xF9C8,0xFB01,0xFC3E,0xFD7E,0xFEBE,0x0000,0x0142,0x0282,0x03C2,0x04FF,0x0638,0x076E,0x08A0,0x09CC,0x0AF2,0x0C11,0x0D29,0x0E39,0x0F40,0x103E,0x1131,0x121A,0x12F8,0x13CA,0x1490,0x1549,0x15F5,0x1694,0x1724,0x17A7,0x181B,0x187F,0x18D5,0x191C,0x1953,0x197A,0x1992,0x199A,0x1992,0x197A,0x1953,0x191C,0x18D5,0x187F,0x181B,0x17A7,0x1724,0x1694,0x15F5,0x1549,0x1490,0x13CA,0x12F8,0x121A,0x1131,0x103E,0x0F40,0x0E39,0x0D29,0x0C11,0x0AF2,0x09CC,0x08A0,0x076E,0x0638,0x04FF,0x03C2,0x0282,0x0142,0x0000,0xFEBE,0xFD7E,0xFC3E,0xFB01,0xF9C8,0xF892,0xF760,0xF634,0xF50E,0xF3EF,0xF2D7,0xF1C7,0xF0C0,0xEFC2,0xEECF,0xEDE6,0xED08,0xEC36,0xEB70,0xEAB7,0xEA0B,0xE96C,0xE8DC,0xE859,0xE7E5,0xE781,0xE72B,0xE6E4,0xE6AD,0xE686,0xE66E,0xE666,0xE66E,0xE686,0xE6AD,0xE6E4,0xE72B,0xE781,0xE7E5,0xE859,0xE8DC,0xE96C,0xEA0B,0xEAB7,0xEB70,0xEC36,0xED08,0xEDE6,0xEECF,0xEFC2,0xF0C0,0xF1C7,0xF2D7,0xF3EF,0xF50E,0xF634,0xF760,0xF892,0xF9C8,0xFB01,0xFC3E,0xFD7E,0xFEBE}; + int TblSinB[256] = {0x0000,0x0C11,0x1549,0x197A,0x17A7,0x103E,0x04FF,0xF892,0xEDE6,0xE781,0xE6E4,0xEC36,0xF634,0x0282,0x0E39,0x1694,0x199A,0x1694,0x0E39,0x0282,0xF634,0xEC36,0xE6E4,0xE781,0xEDE6,0xF892,0x04FF,0x103E,0x17A7,0x197A,0x1549,0x0C11,0x0000,0xF3EF,0xEAB7,0xE686,0xE859,0xEFC2,0xFB01,0x076E,0x121A,0x187F,0x191C,0x13CA,0x09CC,0xFD7E,0xF1C7,0xE96C,0xE666,0xE96C,0xF1C7,0xFD7E,0x09CC,0x13CA,0x191C,0x187F,0x121A,0x076E,0xFB01,0xEFC2,0xE859,0xE686,0xEAB7,0xF3EF,0x0000,0x0C11,0x1549,0x197A,0x17A7,0x103E,0x04FF,0xF892,0xEDE6,0xE781,0xE6E4,0xEC36,0xF634,0x0282,0x0E39,0x1694,0x199A,0x1694,0x0E39,0x0282,0xF634,0xEC36,0xE6E4,0xE781,0xEDE6,0xF892,0x04FF,0x103E,0x17A7,0x197A,0x1549,0x0C11,0x0000,0xF3EF,0xEAB7,0xE686,0xE859,0xEFC2,0xFB01,0x076E,0x121A,0x187F,0x191C,0x13CA,0x09CC,0xFD7E,0xF1C7,0xE96C,0xE666,0xE96C,0xF1C7,0xFD7E,0x09CC,0x13CA,0x191C,0x187F,0x121A,0x076E,0xFB01,0xEFC2,0xE859,0xE686,0xEAB7,0xF3EF,0x0000,0x0C11,0x1549,0x197A,0x17A7,0x103E,0x04FF,0xF892,0xEDE6,0xE781,0xE6E4,0xEC36,0xF634,0x0282,0x0E39,0x1694,0x199A,0x1694,0x0E39,0x0282,0xF634,0xEC36,0xE6E4,0xE781,0xEDE6,0xF892,0x04FF,0x103E,0x17A7,0x197A,0x1549,0x0C11,0x0000,0xF3EF,0xEAB7,0xE686,0xE859,0xEFC2,0xFB01,0x076E,0x121A,0x187F,0x191C,0x13CA,0x09CC,0xFD7E,0xF1C7,0xE96C,0xE666,0xE96C,0xF1C7,0xFD7E,0x09CC,0x13CA,0x191C,0x187F,0x121A,0x076E,0xFB01,0xEFC2,0xE859,0xE686,0xEAB7,0xF3EF,0x0000,0x0C11,0x1549,0x197A,0x17A7,0x103E,0x04FF,0xF892,0xEDE6,0xE781,0xE6E4,0xEC36,0xF634,0x0282,0x0E39,0x1694,0x199A,0x1694,0x0E39,0x0282,0xF634,0xEC36,0xE6E4,0xE781,0xEDE6,0xF892,0x04FF,0x103E,0x17A7,0x197A,0x1549,0x0C11,0x0000,0xF3EF,0xEAB7,0xE686,0xE859,0xEFC2,0xFB01,0x076E,0x121A,0x187F,0x191C,0x13CA,0x09CC,0xFD7E,0xF1C7,0xE96C,0xE666,0xE96C,0xF1C7,0xFD7E,0x09CC,0x13CA,0x191C,0x187F,0x121A,0x076E,0xFB01,0xEFC2,0xE859,0xE686,0xEAB7,0xF3EF}; + int TblSinAB[256] = {0x0000,0x0D53,0x17CB,0x1D3C,0x1CA5,0x1676,0x0C6D,0x0131,0xF7B2,0xF273,0xF2F6,0xF95F,0x046D,0x11C2,0x1E77,0x27C5,0x2BB4,0x298C,0x2203,0x1712,0x0B7D,0x022B,0xFD78,0xFEA5,0x058D,0x10AC,0x1D7E,0x2913,0x30C2,0x32CD,0x2EC3,0x25A3,0x199A,0x0D80,0x0431,0xFFD9,0x0175,0x0898,0x1381,0x1F89,0x29C1,0x2FA4,0x2FAF,0x29BF,0x1F15,0x120E,0x0591,0xFC64,0xF880,0xFA9D,0x0205,0x0CBE,0x1805,0x20F3,0x252D,0x2371,0x1BE6,0x100E,0x0270,0xF5FB,0xED58,0xEA48,0xED39,0xF530,0x0000,0x0AD0,0x12C7,0x15B8,0x12A8,0x0A05,0xFD90,0xEFF2,0xE41A,0xDC8F,0xDAD3,0xDF0D,0xE7FB,0xF342,0xFDFB,0x0563,0x0780,0x039C,0xFA6F,0xEDF2,0xE0EB,0xD641,0xD051,0xD05C,0xD63F,0xE077,0xEC7F,0xF768,0xFE8B,0x0027,0xFBCF,0xF280,0xE666,0xDA5D,0xD13D,0xCD33,0xCF3E,0xD6ED,0xE282,0xEF54,0xFA73,0x015B,0x0288,0xFDD5,0xF483,0xE8EE,0xDDFD,0xD674,0xD44C,0xD83B,0xE189,0xEE3E,0xFB93,0x06A1,0x0D0A,0x0D8D,0x084E,0xFECF,0xF393,0xE98A,0xE35B,0xE2C4,0xE835,0xF2AD,0x0000,0x0D53,0x17CB,0x1D3C,0x1CA5,0x1676,0x0C6D,0x0131,0xF7B2,0xF273,0xF2F6,0xF95F,0x046D,0x11C2,0x1E77,0x27C5,0x2BB4,0x298C,0x2203,0x1712,0x0B7D,0x022B,0xFD78,0xFEA5,0x058D,0x10AC,0x1D7E,0x2913,0x30C2,0x32CD,0x2EC3,0x25A3,0x199A,0x0D80,0x0431,0xFFD9,0x0175,0x0898,0x1381,0x1F89,0x29C1,0x2FA4,0x2FAF,0x29BF,0x1F15,0x120E,0x0591,0xFC64,0xF880,0xFA9D,0x0205,0x0CBE,0x1805,0x20F3,0x252D,0x2371,0x1BE6,0x100E,0x0270,0xF5FB,0xED58,0xEA48,0xED39,0xF530,0x0000,0x0AD0,0x12C7,0x15B8,0x12A8,0x0A05,0xFD90,0xEFF2,0xE41A,0xDC8F,0xDAD3,0xDF0D,0xE7FB,0xF342,0xFDFB,0x0563,0x0780,0x039C,0xFA6F,0xEDF2,0xE0EB,0xD641,0xD051,0xD05C,0xD63F,0xE077,0xEC7F,0xF768,0xFE8B,0x0027,0xFBCF,0xF280,0xE666,0xDA5D,0xD13D,0xCD33,0xCF3E,0xD6ED,0xE282,0xEF54,0xFA73,0x015B,0x0288,0xFDD5,0xF483,0xE8EE,0xDDFD,0xD674,0xD44C,0xD83B,0xE189,0xEE3E,0xFB93,0x06A1,0x0D0A,0x0D8D,0x084E,0xFECF,0xF393,0xE98A,0xE35B,0xE2C4,0xE835,0xF2AD} ; + +/* int TblSin5K[256] = {0x0000,0x080A,0x100B,0x17FC,0x1FD5,0x278E,0x2F1F,0x3680,0x3DAA,0x4496,0x4B3D,0x5197,0x579F,0x5D4F,0x62A0,0x678E,0x6C13,0x702B,0x73D1,0x7703,0x79BC,0x7BFB,0x7DBC,0x7EFE,0x7FBF,0x7FFF,0x7FBF,0x7EFE,0x7DBC,0x7BFB,0x79BC,0x7703,0x73D1,0x702B,0x6C13,0x678E,0x62A0,0x5D4F,0x579F,0x5197,0x4B3D,0x4496,0x3DAA,0x3680,0x2F1F,0x278E,0x1FD5,0x17FC,0x100B,0x080A,0x0000,0xF7F6,0xEFF5,0xE804,0xE02B,0xD872,0xD0E1,0xC980,0xC256,0xBB6A,0xB4C3,0xAE69,0xA861,0xA2B1,0x9D60,0x9872,0x93ED,0x8FD5,0x8C2F,0x88FD,0x8644,0x8405,0x8244,0x8102,0x8041,0x8000,0x8041,0x8102,0x8244,0x8405,0x8644,0x88FD,0x8C2F,0x8FD5,0x93ED,0x9872,0x9D60,0xA2B1,0xA861,0xAE69,0xB4C3,0xBB6A,0xC256,0xC980,0xD0E1,0xD872,0xE02B,0xE804,0xEFF5,0xF7F6,0x0000,0x080A,0x100B,0x17FC,0x1FD5,0x278E,0x2F1F,0x3680,0x3DAA,0x4496,0x4B3D,0x5197,0x579F,0x5D4F,0x62A0,0x678E,0x6C13,0x702B,0x73D1,0x7703,0x79BC,0x7BFB,0x7DBC,0x7EFE,0x7FBF,0x7FFF,0x7FBF,0x7EFE,0x7DBC,0x7BFB,0x79BC,0x7703,0x73D1,0x702B,0x6C13,0x678E,0x62A0,0x5D4F,0x579F,0x5197,0x4B3D,0x4496,0x3DAA,0x3680,0x2F1F,0x278E,0x1FD5,0x17FC,0x100B,0x080A,0x0000,0xF7F6,0xEFF5,0xE804,0xE02B,0xD872,0xD0E1,0xC980,0xC256,0xBB6A,0xB4C3,0xAE69,0xA861,0xA2B1,0x9D60,0x9872,0x93ED,0x8FD5,0x8C2F,0x88FD,0x8644,0x8405,0x8244,0x8102,0x8041,0x8000,0x8041,0x8102,0x8244,0x8405,0x8644,0x88FD,0x8C2F,0x8FD5,0x93ED,0x9872,0x9D60,0xA2B1,0xA861,0xAE69,0xB4C3,0xBB6A,0xC256,0xC980,0xD0E1,0xD872,0xE02B,0xE804,0xEFF5,0xF7F6,0x0000,0x080A,0x100B,0x17FC,0x1FD5,0x278E,0x2F1F,0x3680,0x3DAA,0x4496,0x4B3D,0x5197,0x579F,0x5D4F,0x62A0,0x678E,0x6C13,0x702B,0x73D1,0x7703,0x79BC,0x7BFB,0x7DBC,0x7EFE,0x7FBF,0x7FFF,0x7FBF,0x7EFE,0x7DBC,0x7BFB,0x79BC,0x7703,0x73D1,0x702B,0x6C13,0x678E,0x62A0,0x5D4F,0x579F,0x5197,0x4B3D,0x4496,0x3DAA,0x3680,0x2F1F,0x278E,0x1FD5,0x17FC,0x100B,0x080A,0x0000,0xF7F6,0xEFF5,0xE804,0xE02B,0xD872}; + int TblSin8K[256] = {0x0000,0x0CD9,0x1990,0x2605,0x3219,0x3DAA,0x489C,0x52D3,0x5C33,0x64A5,0x6C13,0x7269,0x7798,0x7B92,0x7E4C,0x7FBF,0x7FE9,0x7EC7,0x7C5E,0x78B4,0x73D1,0x6DC3,0x669A,0x5E67,0x5540,0x4B3D,0x4077,0x350A,0x2915,0x1CB5,0x100B,0x0337,0xF65C,0xE999,0xDD10,0xD0E1,0xC52C,0xBA10,0xAFA8,0xA610,0x9D60,0x95AF,0x8F11,0x8997,0x854F,0x8244,0x807F,0x8003,0x80D1,0x82E9,0x8644,0x8AD9,0x909E,0x9782,0x9F75,0xA861,0xB22F,0xBCC7,0xC80D,0xD3E3,0xE02B,0xECC5,0xF992,0x066E,0x133B,0x1FD5,0x2C1D,0x37F3,0x4339,0x4DD1,0x579F,0x608B,0x687E,0x6F62,0x7527,0x79BC,0x7D17,0x7F2F,0x7FFD,0x7F81,0x7DBC,0x7AB1,0x7669,0x70EF,0x6A51,0x62A0,0x59F0,0x5058,0x45F0,0x3AD4,0x2F1F,0x22F0,0x1667,0x09A4,0xFCC9,0xEFF5,0xE34B,0xD6EB,0xCAF6,0xBF89,0xB4C3,0xAAC0,0xA199,0x9966,0x923D,0x8C2F,0x874C,0x83A2,0x8139,0x8017,0x8041,0x81B4,0x846E,0x8868,0x8D97,0x93ED,0x9B5B,0xA3CD,0xAD2D,0xB764,0xC256,0xCDE7,0xD9FB,0xE670,0xF327,0x0000,0x0CD9,0x1990,0x2605,0x3219,0x3DAA,0x489C,0x52D3,0x5C33,0x64A5,0x6C13,0x7269,0x7798,0x7B92,0x7E4C,0x7FBF,0x7FE9,0x7EC7,0x7C5E,0x78B4,0x73D1,0x6DC3,0x669A,0x5E67,0x5540,0x4B3D,0x4077,0x350A,0x2915,0x1CB5,0x100B,0x0337,0xF65C,0xE999,0xDD10,0xD0E1,0xC52C,0xBA10,0xAFA8,0xA610,0x9D60,0x95AF,0x8F11,0x8997,0x854F,0x8244,0x807F,0x8003,0x80D1,0x82E9,0x8644,0x8AD9,0x909E,0x9782,0x9F75,0xA861,0xB22F,0xBCC7,0xC80D,0xD3E3,0xE02B,0xECC5,0xF992,0x066E,0x133B,0x1FD5,0x2C1D,0x37F3,0x4339,0x4DD1,0x579F,0x608B,0x687E,0x6F62,0x7527,0x79BC,0x7D17,0x7F2F,0x7FFD,0x7F81,0x7DBC,0x7AB1,0x7669,0x70EF,0x6A51,0x62A0,0x59F0,0x5058,0x45F0,0x3AD4,0x2F1F,0x22F0,0x1667,0x09A4,0xFCC9,0xEFF5,0xE34B,0xD6EB,0xCAF6,0xBF89,0xB4C3,0xAAC0,0xA199,0x9966,0x923D,0x8C2F,0x874C,0x83A2,0x8139,0x8017,0x8041,0x81B4,0x846E,0x8868,0x8D97,0x93ED,0x9B5B,0xA3CD,0xAD2D,0xB764,0xC256,0xCDE7,0xD9FB,0xE670,0xF327,0x0000,0x0CD9,0x1990,0x2605,0x3219,0x3DAA}; + int TblSin11K[256] = {0x0000,0x11A3,0x22F0,0x3392,0x4339,0x5197,0x5E67,0x696A,0x7269,0x793B,0x7DBC,0x7FD7,0x7F81,0x7CBD,0x7798,0x702B,0x669A,0x5B14,0x4DD1,0x3F12,0x2F1F,0x1E46,0x0CD9,0xFB2D,0xE999,0xD872,0xC80D,0xB8B8,0xAAC0,0x9E68,0x93ED,0x8B82,0x854F,0x8174,0x8003,0x8102,0x846E,0x8A36,0x923D,0x9C5B,0xA861,0xB612,0xC52C,0xD566,0xE670,0xF7F6,0x09A4,0x1B23,0x2C1D,0x3C40,0x4B3D,0x58CA,0x64A5,0x6E95,0x7669,0x7BFB,0x7F2F,0x7FF6,0x7E4C,0x7A39,0x73D1,0x6B34,0x608B,0x540B,0x45F0,0x3680,0x2605,0x14D1,0x0337,0xF18E,0xE02B,0xCF63,0xBF89,0xB0EA,0xA3CD,0x9872,0x8F11,0x87D8,0x82E9,0x805D,0x8041,0x8294,0x874C,0x8E52,0x9782,0xA2B1,0xAFA8,0xBE27,0xCDE7,0xDE9D,0xEFF5,0x019C,0x133B,0x247C,0x350A,0x4496,0x52D3,0x5F7B,0x6A51,0x7320,0x79BC,0x7E06,0x7FE9,0x7F5B,0x7C5E,0x7703,0x6F62,0x65A1,0x59F0,0x4C88,0x3DAA,0x2D9F,0x1CB5,0x0B3F,0xF992,0xE804,0xD6EB,0xC69B,0xB764,0xA98F,0x9D60,0x9313,0x8AD9,0x84DC,0x8139,0x8000,0x8139,0x84DC,0x8AD9,0x9313,0x9D60,0xA98F,0xB764,0xC69B,0xD6EB,0xE804,0xF992,0x0B3F,0x1CB5,0x2D9F,0x3DAA,0x4C88,0x59F0,0x65A1,0x6F62,0x7703,0x7C5E,0x7F5B,0x7FE9,0x7E06,0x79BC,0x7320,0x6A51,0x5F7B,0x52D3,0x4496,0x350A,0x247C,0x133B,0x019C,0xEFF5,0xDE9D,0xCDE7,0xBE27,0xAFA8,0xA2B1,0x9782,0x8E52,0x874C,0x8294,0x8041,0x805D,0x82E9,0x87D8,0x8F11,0x9872,0xA3CD,0xB0EA,0xBF89,0xCF63,0xE02B,0xF18E,0x0337,0x14D1,0x2605,0x3680,0x45F0,0x540B,0x608B,0x6B34,0x73D1,0x7A39,0x7E4C,0x7FF6,0x7F2F,0x7BFB,0x7669,0x6E95,0x64A5,0x58CA,0x4B3D,0x3C40,0x2C1D,0x1B23,0x09A4,0xF7F6,0xE670,0xD566,0xC52C,0xB612,0xA861,0x9C5B,0x923D,0x8A36,0x846E,0x8102,0x8003,0x8174,0x854F,0x8B82,0x93ED,0x9E68,0xAAC0,0xB8B8,0xC80D,0xD872,0xE999,0xFB2D,0x0CD9,0x1E46,0x2F1F,0x3F12,0x4DD1,0x5B14,0x669A,0x702B,0x7798,0x7CBD,0x7F81,0x7FD7,0x7DBC,0x793B,0x7269,0x696A,0x5E67,0x5197,0x4339,0x3392,0x22F0,0x11A3,0x0000,0xEE5D,0xDD10,0xCC6E,0xBCC7,0xAE69}; +*/ int TblSin15K[256] = {0x0000,0x17FC,0x2F1F,0x4496,0x579F,0x678E,0x73D1,0x7BFB,0x7FBF,0x7EFE,0x79BC,0x702B,0x62A0,0x5197,0x3DAA,0x278E,0x100B,0xF7F6,0xE02B,0xC980,0xB4C3,0xA2B1,0x93ED,0x88FD,0x8244,0x8000,0x8244,0x88FD,0x93ED,0xA2B1,0xB4C3,0xC980,0xE02B,0xF7F6,0x100B,0x278E,0x3DAA,0x5197,0x62A0,0x702B,0x79BC,0x7EFE,0x7FBF,0x7BFB,0x73D1,0x678E,0x579F,0x4496,0x2F1F,0x17FC,0x0000,0xE804,0xD0E1,0xBB6A,0xA861,0x9872,0x8C2F,0x8405,0x8041,0x8102,0x8644,0x8FD5,0x9D60,0xAE69,0xC256,0xD872,0xEFF5,0x080A,0x1FD5,0x3680,0x4B3D,0x5D4F,0x6C13,0x7703,0x7DBC,0x8000,0x7DBC,0x7703,0x6C13,0x5D4F,0x4B3D,0x3680,0x1FD5,0x080A,0xEFF5,0xD872,0xC256,0xAE69,0x9D60,0x8FD5,0x8644,0x8102,0x8041,0x8405,0x8C2F,0x9872,0xA861,0xBB6A,0xD0E1,0xE804,0x0000,0x17FC,0x2F1F,0x4496,0x579F,0x678E,0x73D1,0x7BFB,0x7FBF,0x7EFE,0x79BC,0x702B,0x62A0,0x5197,0x3DAA,0x278E,0x100B,0xF7F6,0xE02B,0xC980,0xB4C3,0xA2B1,0x93ED,0x88FD,0x8244,0x8000,0x8244,0x88FD,0x93ED,0xA2B1,0xB4C3,0xC980,0xE02B,0xF7F6,0x100B,0x278E,0x3DAA,0x5197,0x62A0,0x702B,0x79BC,0x7EFE,0x7FBF,0x7BFB,0x73D1,0x678E,0x579F,0x4496,0x2F1F,0x17FC,0x0000,0xE804,0xD0E1,0xBB6A,0xA861,0x9872,0x8C2F,0x8405,0x8041,0x8102,0x8644,0x8FD5,0x9D60,0xAE69,0xC256,0xD872,0xEFF5,0x080A,0x1FD5,0x3680,0x4B3D,0x5D4F,0x6C13,0x7703,0x7DBC,0x8000,0x7DBC,0x7703,0x6C13,0x5D4F,0x4B3D,0x3680,0x1FD5,0x080A,0xEFF5,0xD872,0xC256,0xAE69,0x9D60,0x8FD5,0x8644,0x8102,0x8041,0x8405,0x8C2F,0x9872,0xA861,0xBB6A,0xD0E1,0xE804,0x0000,0x17FC,0x2F1F,0x4496,0x579F,0x678E,0x73D1,0x7BFB,0x7FBF,0x7EFE,0x79BC,0x702B,0x62A0,0x5197,0x3DAA,0x278E,0x100B,0xF7F6,0xE02B,0xC980,0xB4C3,0xA2B1,0x93ED,0x88FD,0x8244,0x8000,0x8244,0x88FD,0x93ED,0xA2B1,0xB4C3,0xC980,0xE02B,0xF7F6,0x100B,0x278E,0x3DAA,0x5197,0x62A0,0x702B,0x79BC,0x7EFE,0x7FBF,0x7BFB,0x73D1,0x678E,0x579F,0x4496,0x2F1F,0x17FC,0x0000,0xE804,0xD0E1,0xBB6A,0xA861,0x9872}; + int TblSin19K[256] = {0x0000,0x1E46,0x3AD4,0x540B,0x687E,0x7703,0x7EC7,0x7F5B,0x78B4,0x6B34,0x579F,0x3F12,0x22F0,0x04D3,0xE670,0xC980,0xAFA8,0x9A5F,0x8AD9,0x81FA,0x8041,0x85C7,0x923D,0xA4EC,0xBCC7,0xD872,0xF65C,0x14D1,0x3219,0x4C88,0x62A0,0x7320,0x7D17,0x7FF6,0x7B92,0x702B,0x5E67,0x4748,0x2C1D,0x0E72,0xEFF5,0xD261,0xB764,0xA085,0x8F11,0x8405,0x8003,0x8343,0x8D97,0x9E68,0xB4C3,0xCF63,0xECC5,0x0B3F,0x2915,0x4496,0x5C33,0x6E95,0x7AB1,0x7FD7,0x7DBC,0x747E,0x64A5,0x4F16,0x350A,0x17FC,0xF992,0xDB84,0xBF89,0xA736,0x93ED,0x86C5,0x807F,0x8174,0x8997,0x9872,0xAD2D,0xC69B,0xE34B,0x019C,0x1FD5,0x3C40,0x5540,0x696A,0x7798,0x7EFE,0x7F2F,0x7828,0x6A51,0x5671,0x3DAA,0x2163,0x0337,0xE4DD,0xC80D,0xAE69,0x9966,0x8A36,0x81B4,0x805D,0x8644,0x9313,0xA610,0xBE27,0xD9FB,0xF7F6,0x1667,0x3392,0x4DD1,0x63A5,0x73D1,0x7D6C,0x7FE9,0x7B24,0x6F62,0x5D4F,0x45F0,0x2A9A,0x0CD9,0xEE5D,0xD0E1,0xB612,0x9F75,0x8E52,0x83A2,0x8000,0x83A2,0x8E52,0x9F75,0xB612,0xD0E1,0xEE5D,0x0CD9,0x2A9A,0x45F0,0x5D4F,0x6F62,0x7B24,0x7FE9,0x7D6C,0x73D1,0x63A5,0x4DD1,0x3392,0x1667,0xF7F6,0xD9FB,0xBE27,0xA610,0x9313,0x8644,0x805D,0x81B4,0x8A36,0x9966,0xAE69,0xC80D,0xE4DD,0x0337,0x2163,0x3DAA,0x5671,0x6A51,0x7828,0x7F2F,0x7EFE,0x7798,0x696A,0x5540,0x3C40,0x1FD5,0x019C,0xE34B,0xC69B,0xAD2D,0x9872,0x8997,0x8174,0x807F,0x86C5,0x93ED,0xA736,0xBF89,0xDB84,0xF992,0x17FC,0x350A,0x4F16,0x64A5,0x747E,0x7DBC,0x7FD7,0x7AB1,0x6E95,0x5C33,0x4496,0x2915,0x0B3F,0xECC5,0xCF63,0xB4C3,0x9E68,0x8D97,0x8343,0x8003,0x8405,0x8F11,0xA085,0xB764,0xD261,0xEFF5,0x0E72,0x2C1D,0x4748,0x5E67,0x702B,0x7B92,0x7FF6,0x7D17,0x7320,0x62A0,0x4C88,0x3219,0x14D1,0xF65C,0xD872,0xBCC7,0xA4EC,0x923D,0x85C7,0x8041,0x81FA,0x8AD9,0x9A5F,0xAFA8,0xC980,0xE670,0x04D3,0x22F0,0x3F12,0x579F,0x6B34,0x78B4,0x7F5B,0x7EC7,0x7703,0x687E,0x540B,0x3AD4,0x1E46,0x0000,0xE1BA,0xC52C,0xABF5,0x9782,0x88FD}; + int Table[256]; + + FFT_Device* fft0 = openFFT(0); + DELAY_Device* delay = openDELAY(0); + UART_Device* uart0 = openUART(0); + FIFO_Device* fifoIn = openFIFO(0); + FIFO_Device* fifoOut = openFIFO(1); + + printf("\nDebut Main\n\n"); + + Setup(delay,30000000); + + FftInput(TblSinA,fft0,delay); + FftOutput(Table,fft0); + /*for (i = 0 ; i < 256 ; i=i+2) + { + sprintf(temp,"%x\t%x\n\r",Table[i],Table[i+1]); + uartputs(uart0,temp); + }*/ + FillFifo(fifoIn,0,Table); + fifoIn->FIFOreg[(2*0)+FIFO_Ctrl] = (fifoIn->FIFOreg[(2*0)+FIFO_Ctrl] | FIFO_ReUse); + + FftInput(TblSinAB,fft0,delay); + FftOutput(Table,fft0); + FillFifo(fifoIn,1,Table); + fifoIn->FIFOreg[(2*1)+FIFO_Ctrl] = (fifoIn->FIFOreg[(2*1)+FIFO_Ctrl] | FIFO_ReUse); + + FftInput(TblSinB,fft0,delay); + FftOutput(Table,fft0); + FillFifo(fifoIn,2,Table); + fifoIn->FIFOreg[(2*2)+FIFO_Ctrl] = (fifoIn->FIFOreg[(2*2)+FIFO_Ctrl] | FIFO_ReUse); + + FftInput(TblSin15K,fft0,delay); + FftOutput(Table,fft0); + FillFifo(fifoIn,3,Table); + fifoIn->FIFOreg[(2*3)+FIFO_Ctrl] = (fifoIn->FIFOreg[(2*3)+FIFO_Ctrl] | FIFO_ReUse); + + FftInput(TblSin19K,fft0,delay); + FftOutput(Table,fft0); + FillFifo(fifoIn,4,Table); + fifoIn->FIFOreg[(2*4)+FIFO_Ctrl] = (fifoIn->FIFOreg[(2*4)+FIFO_Ctrl] | FIFO_ReUse); +printf("ok"); +while(1){ + + while((fifoOut->FIFOreg[(2*0)+FIFO_Ctrl] & FIFO_Empty) == FIFO_Empty); // TANT QUE empty a 1 RIEN + + data1 = fifoOut->FIFOreg[(2*0)+FIFO_RWdata]; + data2 = fifoOut->FIFOreg[(2*0)+FIFO_RWdata]; + + sprintf(temp,"%d\t%d\n\r",data1,data2); + uartputs(uart0,temp); + } + printf("\nFin Main\n\n"); + return 0; +} + + + diff --git a/LPP_drivers/exemples/BenchFFT/Makefile b/LPP_drivers/exemples/BenchFFT/Makefile --- a/LPP_drivers/exemples/BenchFFT/Makefile +++ b/LPP_drivers/exemples/BenchFFT/Makefile @@ -21,7 +21,7 @@ include ../../rules.mk LIBDIR = ../../lib INCPATH = ../../includes SCRIPTDIR=../../scripts/ -LIBS=-lapb_fft_Driver -llpp_apb_functions -lapb_uart_Driver +LIBS=-lapb_fft_Driver -llpp_apb_functions -lapb_uart_Driver -lapb_delay_Driver INPUTFILE=main.c EXEC=BenchFFT.bin OUTBINDIR=bin/ diff --git a/LPP_drivers/exemples/BenchFFT/main.c b/LPP_drivers/exemples/BenchFFT/main.c --- a/LPP_drivers/exemples/BenchFFT/main.c +++ b/LPP_drivers/exemples/BenchFFT/main.c @@ -2,6 +2,7 @@ #include "lpp_apb_functions.h" #include "apb_uart_Driver.h" #include "apb_fft_Driver.h" +#include "apb_delay_Driver.h" int main() @@ -16,6 +17,7 @@ int main() printf("Debut Main\n\n"); UART_Device* uart0 = openUART(0); FFT_Device* fft0 = openFFT(0); + DELAY_Device* delay = openDELAY(0); printf("addr_fft: %x\n",(unsigned int)fft0); printf("addr_uart: %x\n\n",(unsigned int)uart0); @@ -24,7 +26,7 @@ int main() while(1) { - FftInput(Tablo,fft0); + FftInput(Tablo,fft0,delay); /* for (i = 0 ; i < 256 ; i++) { sprintf(temp,"%x/in",Tablo[i]); diff --git a/LPP_drivers/exemples/Makefile b/LPP_drivers/exemples/Makefile --- a/LPP_drivers/exemples/Makefile +++ b/LPP_drivers/exemples/Makefile @@ -26,4 +26,5 @@ all: make all -C BenchUART make all -C BenchFFT make all -C BenchGPIO - make all -C BenchMatrix \ No newline at end of file + make all -C BenchMatrix + make all -C BenchFFT+Matrix \ No newline at end of file diff --git a/LPP_drivers/includes/apb_fft_Driver.h b/LPP_drivers/includes/apb_fft_Driver.h --- a/LPP_drivers/includes/apb_fft_Driver.h +++ b/LPP_drivers/includes/apb_fft_Driver.h @@ -21,6 +21,7 @@ -----------------------------------------------------------------------------*/ #ifndef APB_FFT_DRIVER_H #define APB_FFT_DRIVER_H +#include "apb_delay_Driver.h" /*! \file apb_fft_Driver.h \brief LPP FFT driver. @@ -31,8 +32,9 @@ \author Martin Morlot martin.morlot@lpp.polytechnique.fr */ -#define FFT_Empty 0x00100 /**< Used to know when the data can be send to the FFT module */ -#define FFT_Full 0x01000 /**< Used to know when the data can be send to the FFT module */ +#define FFT_Fill 0x00000001 +#define FFT_Ready 0x00000010 +#define Mask 0x0000FFFF /*=================================================== @@ -43,19 +45,11 @@ */ struct FFT_Driver { - int RWDataReg; /**< \brief Data register Write/Read */ - int ReadAddrReg; /**< \brief Address register for the reading operation */ - int ConfigReg; /**< \brief Configuration register composed of Read enable Flag [HEX 0] - Write enable Flag [HEX 1] - Empty Flag [HEX 2] - Full Flag [HEX 3] - Dummy "C" [HEX 4/5/6/7] */ - int Dummy1; /**< \brief Unused register, aesthetic interest */ - int Dummy0; /**< \brief Unused register, aesthetic interest */ - int WriteAddrReg; /**< \brief Address register for the writing operation */ + int ConfigReg; + int RWDataReg; }; -typedef volatile struct FFT_Driver FFT_Device; +typedef struct FFT_Driver FFT_Device; /*=================================================== @@ -71,27 +65,8 @@ typedef volatile struct FFT_Driver FFT_D \return The pointer to the device. */ FFT_Device* openFFT(int count); - -/*! \fn int FftInput(int Tbl[],FFT_Device*); - \brief Fill in the Input for the FFT - - This function provides the data used by the FFT - - \param Tbl[] The Table which contains the Data. - \param dev The FFT pointer. -*/ -int FftInput(int Tbl[],FFT_Device* dev); - -/*! \fn int FftOutput(int Tbl[],FFT_Device*); - \brief Save data from the FFT - - This function save the data generated by the FFT - - \param Tbl[] The Table which will contains the Data. - \param dev The FFT pointer. -*/ -int FftOutput(int Tbl[],FFT_Device* dev); - +int FftInput(int Tbl[],FFT_Device*,DELAY_Device*); +int FftOutput(int Tbl[],FFT_Device*); #endif diff --git a/LPP_drivers/libsrc/FFT/apb_fft_Driver.c b/LPP_drivers/libsrc/FFT/apb_fft_Driver.c --- a/LPP_drivers/libsrc/FFT/apb_fft_Driver.c +++ b/LPP_drivers/libsrc/FFT/apb_fft_Driver.c @@ -22,6 +22,7 @@ #include "apb_fft_Driver.h" #include "lpp_apb_functions.h" #include +#include "apb_delay_Driver.h" FFT_Device* openFFT(int count) @@ -32,51 +33,35 @@ FFT_Device* openFFT(int count) } -int FftInput(int * Tbl,FFT_Device* fft) +int FftInput(int * Tbl,FFT_Device* fft,DELAY_Device* delay) { - int i; - printf("\nFftInput\n\n"); + int i=0; - while((fft->ConfigReg & FFT_Full) != FFT_Full) // full a 0 + while((fft->ConfigReg & FFT_Fill) == FFT_Fill) // fill a 1 { - printf("\nWrite\n\n"); - for (i = 0 ; i < 256 ; i++) - { - fft->RWDataReg = Tbl[i]; - if((fft->ConfigReg & FFT_Full) == FFT_Full) // full a 1 - { - printf("\nBreak\n\n"); - break; - } - } + fft->RWDataReg = Tbl[i]; + i++; + Delay_us(delay,1); } - - printf("\nFULL\n\n"); + return 0; } int FftOutput(int * Tbl, FFT_Device* fft) { - int i; - printf("\nFftOutput\n\n"); + int i=0; + int data; - while((fft->ConfigReg & FFT_Empty) != FFT_Empty) // empty a 0 + while((fft->ConfigReg & FFT_Ready) == FFT_Ready) // ready a 1 { - printf("\nRead\n\n"); - for (i = 0 ; i < 256 ; i++) - { - //printf("\noutFor%d\n\n",i); - Tbl[i] = fft->RWDataReg; - if((fft->ConfigReg & FFT_Empty) == FFT_Empty) // empty a 1 - { - printf("\nBreak\n\n"); - break; - } - } + data = fft->RWDataReg; + Tbl[i] = (data >> 16) & Mask; + Tbl[i+1] = data & Mask; + i = i+2; } - printf("\nEMPTY\n\n"); - return 0; + + return i; } diff --git a/LPP_drivers/libsrc/FFT/apb_fft_Driver.h b/LPP_drivers/libsrc/FFT/apb_fft_Driver.h --- a/LPP_drivers/libsrc/FFT/apb_fft_Driver.h +++ b/LPP_drivers/libsrc/FFT/apb_fft_Driver.h @@ -21,6 +21,7 @@ -----------------------------------------------------------------------------*/ #ifndef APB_FFT_DRIVER_H #define APB_FFT_DRIVER_H +#include "apb_delay_Driver.h" /*! \file apb_fft_Driver.h \brief LPP FFT driver. @@ -31,8 +32,9 @@ \author Martin Morlot martin.morlot@lpp.polytechnique.fr */ -#define FFT_Empty 0x00100 /**< Used to know when the data can be send to the FFT module */ -#define FFT_Full 0x01000 /**< Used to know when the data can be send to the FFT module */ +#define FFT_Fill 0x00000001 +#define FFT_Ready 0x00000010 +#define Mask 0x0000FFFF /*=================================================== @@ -43,19 +45,11 @@ */ struct FFT_Driver { - int RWDataReg; /**< \brief Data register Write/Read */ - int ReadAddrReg; /**< \brief Address register for the reading operation */ - int ConfigReg; /**< \brief Configuration register composed of Read enable Flag [HEX 0] - Write enable Flag [HEX 1] - Empty Flag [HEX 2] - Full Flag [HEX 3] - Dummy "C" [HEX 4/5/6/7] */ - int Dummy1; /**< \brief Unused register, aesthetic interest */ - int Dummy0; /**< \brief Unused register, aesthetic interest */ - int WriteAddrReg; /**< \brief Address register for the writing operation */ + int ConfigReg; + int RWDataReg; }; -typedef volatile struct FFT_Driver FFT_Device; +typedef struct FFT_Driver FFT_Device; /*=================================================== @@ -71,27 +65,8 @@ typedef volatile struct FFT_Driver FFT_D \return The pointer to the device. */ FFT_Device* openFFT(int count); - -/*! \fn int FftInput(int Tbl[],FFT_Device*); - \brief Fill in the Input for the FFT - - This function provides the data used by the FFT - - \param Tbl[] The Table which contains the Data. - \param dev The FFT pointer. -*/ -int FftInput(int Tbl[],FFT_Device* dev); - -/*! \fn int FftOutput(int Tbl[],FFT_Device*); - \brief Save data from the FFT - - This function save the data generated by the FFT - - \param Tbl[] The Table which will contains the Data. - \param dev The FFT pointer. -*/ -int FftOutput(int Tbl[],FFT_Device* dev); - +int FftInput(int Tbl[],FFT_Device*,DELAY_Device*); +int FftOutput(int Tbl[],FFT_Device*); #endif diff --git a/lib/lpp/dsp/lpp_fft/APB_FFT.vhd b/lib/lpp/dsp/lpp_fft/APB_FFT.vhd --- a/lib/lpp/dsp/lpp_fft/APB_FFT.vhd +++ b/lib/lpp/dsp/lpp_fft/APB_FFT.vhd @@ -29,7 +29,6 @@ library lpp; use lpp.lpp_amba.all; use lpp.apb_devices_list.all; use lpp.lpp_fft.all; -use lpp.lpp_memory.all; use work.fft_components.all; --! Driver APB, va faire le lien entre l'IP VHDL de la FFT et le bus Amba @@ -41,12 +40,13 @@ entity APB_FFT is pmask : integer := 16#fff#; pirq : integer := 0; abits : integer := 8; - Data_sz : integer := 32; - Addr_sz : integer := 8; - addr_max_int : integer := 256); + Data_sz : integer := 16 + ); port ( clk : in std_logic; --! Horloge du composant rst : in std_logic; --! Reset general du composant + eload : out std_logic; + eready :out std_logic; apbi : in apb_slv_in_type; --! Registre de gestion des entr�es du bus apbo : out apb_slv_out_type --! Registre de gestion des sorties du bus ); @@ -55,35 +55,48 @@ end APB_FFT; architecture ar_APB_FFT of APB_FFT is -signal ReadEnable : std_logic; -signal WriteEnable : std_logic; -signal FlagEmpty : std_logic; -signal FlagFull : std_logic; -signal DataIn_re : std_logic_vector(gWSIZE-1 downto 0); -signal DataOut_re : std_logic_vector(gWSIZE-1 downto 0); -signal DataIn_im : std_logic_vector(gWSIZE-1 downto 0); -signal DataOut_im : std_logic_vector(gWSIZE-1 downto 0); -signal DataIn : std_logic_vector(Data_sz-1 downto 0); -signal DataOut : std_logic_vector(Data_sz-1 downto 0); -signal AddrIn : std_logic_vector(Addr_sz-1 downto 0); -signal AddrOut : std_logic_vector(Addr_sz-1 downto 0); +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_FFT, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); -signal start : std_logic; -signal load : std_logic; -signal rdy : std_logic; +signal Ren : std_logic; +signal Wen : std_logic; +signal load : std_logic; +signal d_valid : std_logic; +signal y_rdy : std_logic; +signal read_y : std_logic; +signal fill : std_logic; +signal ready : std_logic; +signal start : std_logic; +signal DataIn_re : std_logic_vector(Data_sz-1 downto 0); +signal DataIn_im : std_logic_vector(Data_sz-1 downto 0); +signal DataOut_re : std_logic_vector(Data_sz-1 downto 0); +signal DataOut_im : std_logic_vector(Data_sz-1 downto 0); +type FFT_ctrlr_Reg is record + FFT_Cfg : std_logic_vector(1 downto 0); + FFT_Rdata : std_logic_vector((2*Data_sz)-1 downto 0); + FFT_Wdata : std_logic_vector((2*Data_sz)-1 downto 0); +end record; + +signal Rec : FFT_ctrlr_Reg; +signal Rdata : std_logic_vector(31 downto 0); + begin - APB : ApbDriver - generic map(pindex,paddr,pmask,pirq,abits,LPP_FFT,Data_sz,Addr_sz,addr_max_int) - port map(clk,rst,ReadEnable,WriteEnable,FlagEmpty,FlagFull,DataIn,DataOut,AddrIn,AddrOut,apbi,apbo); - +Rec.FFT_Cfg(0) <= fill; +Rec.FFT_Cfg(1) <= ready; +eload <= fill; +eready <= ready; - Extremum : Flag_Extremum - port map(clk,rst,load,rdy,FlagFull,FlagEmpty); - - - DEVICE : CoreFFT +DataIn_im <= Rec.FFT_Wdata(Data_sz-1 downto 0); +DataIn_re <= Rec.FFT_Wdata((2*Data_sz)-1 downto Data_sz); +Rec.FFT_Rdata(Data_sz-1 downto 0) <= DataOut_im; +Rec.FFT_Rdata((2*Data_sz)-1 downto Data_sz) <= DataOut_re; + + Actel_FFT : CoreFFT generic map( LOGPTS => gLOGPTS, LOGLOGPTS => gLOGLOGPTS, @@ -96,12 +109,61 @@ begin PTS => gPTS, HALFPTS => gHALFPTS, inBuf_RWDLY => gInBuf_RWDLY) - port map(clk,start,rst,WriteEnable,ReadEnable,DataIn_im,DataIn_re,load,open,DataOut_im,DataOut_re,open,rdy); + port map(clk,start,rst,d_valid,read_y,DataIn_im,DataIn_re,load,open,DataOut_im,DataOut_re,open,y_rdy); + + Flags : Flag_Extremum + port map(clk,rst,load,y_rdy,fill,ready); -start <= not rst; + process(rst,clk) + begin + if(rst='0')then + Rec.FFT_Wdata <= (others => '0'); + Wen <= '1'; + Ren <= '1'; + + elsif(clk'event and clk='1')then + + --APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000001" => + Wen <= '0'; + Rec.FFT_Wdata(Data_sz-1 downto 0) <= (others => '0'); + Rec.FFT_Wdata((2*Data_sz)-1 downto Data_sz) <= apbi.pwdata(Data_sz-1 downto 0); -DataIn_re <= DataIn(31 downto 16); -DataIn_im <= DataIn(15 downto 0); -DataOut <= DataOut_re & DataOut_im; + when others => + null; + end case; + else + Wen <= '1'; + end if; + + --APB Read OP + if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then + case apbi.paddr(abits-1 downto 2) is + when "000000" => + Rdata(3 downto 0) <= "000" & Rec.FFT_Cfg(0); + Rdata(7 downto 4) <= "000" & Rec.FFT_Cfg(1); + Rdata(31 downto 8) <= (others => '0'); + + when "000001" => + Ren <= '0'; + Rdata(31 downto 0) <= Rec.FFT_Rdata((2*Data_sz)-1 downto 0); + + when others => + Rdata <= (others => '0'); + end case; + else + Ren <= '1'; + end if; + + end if; + apbo.pconfig <= pconfig; + end process; + +apbo.prdata <= Rdata when apbi.penable = '1'; +d_valid <= not Wen; +read_y <= not Ren; +start <= not rst; end ar_APB_FFT; \ No newline at end of file diff --git a/lib/lpp/dsp/lpp_fft/Flag_Extremum.vhd b/lib/lpp/dsp/lpp_fft/Flag_Extremum.vhd --- a/lib/lpp/dsp/lpp_fft/Flag_Extremum.vhd +++ b/lib/lpp/dsp/lpp_fft/Flag_Extremum.vhd @@ -31,8 +31,8 @@ entity Flag_Extremum is clk,raz : in std_logic; --! Horloge et Reset g�n�ral du composant load : in std_logic; --! Signal en provenance de CoreFFT y_rdy : in std_logic; --! Signal en provenance de CoreFFT - full : out std_logic; --! Flag, Va permettre d'autoriser l'�criture (Driver C) - empty : out std_logic --! Flag, Va permettre d'autoriser la lecture (Driver C) + fill : out std_logic; --! Flag, Va permettre d'autoriser l'�criture (Driver C) + ready : out std_logic --! Flag, Va permettre d'autoriser la lecture (Driver C) ); end Flag_Extremum; @@ -44,22 +44,22 @@ begin process (clk,raz) begin if(raz='0')then - full <= '1'; - empty <= '1'; + fill <= '0'; + ready <= '0'; elsif(clk' event and clk='1')then if(load='1' and y_rdy='0')then - full <= '0'; - empty <= '1'; + fill <= '1'; + ready <= '0'; elsif(y_rdy='1')then - full <= '1'; - empty <= '0'; + fill <= '0'; + ready <= '1'; else - full <= '1'; - empty <= '1'; + fill <= '0'; + ready <= '0'; end if; end if; diff --git a/lib/lpp/dsp/lpp_fft/lpp_fft.vhd b/lib/lpp/dsp/lpp_fft/lpp_fft.vhd --- a/lib/lpp/dsp/lpp_fft/lpp_fft.vhd +++ b/lib/lpp/dsp/lpp_fft/lpp_fft.vhd @@ -45,20 +45,21 @@ component APB_FFT is addr_max_int : integer := 256); port ( clk : in std_logic; - rst : in std_logic; + rst : in std_logic; --! Reset general du composant + eload : out std_logic; + eready :out std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type ); end component; - component Flag_Extremum is port( - clk,raz : in std_logic; - load : in std_logic; - y_rdy : in std_logic; - full : out std_logic; - empty : out std_logic + clk,raz : in std_logic; --! Horloge et Reset g�n�ral du composant + load : in std_logic; --! Signal en provenance de CoreFFT + y_rdy : in std_logic; --! Signal en provenance de CoreFFT + fill : out std_logic; --! Flag, Va permettre d'autoriser l'�criture (Driver C) + ready : out std_logic --! Flag, Va permettre d'autoriser la lecture (Driver C) ); end component; @@ -99,7 +100,7 @@ END component; component actram is port( DI : in std_logic_vector(31 downto 0); DO : out std_logic_vector(31 downto 0);WRB, RDB : in std_logic; - WADDR : in std_logic_vector(6 downto 0); RADDR : in + WADDR : in std_logic_vector(6 downto 0); RADDR : in std_logic_vector(6 downto 0);WCLOCK, RCLOCK : in std_logic) ; end component;