diff --git a/LPP_drivers/exemples/ScanAPB/bin/ScanAPB.bin b/LPP_drivers/exemples/ScanAPB/bin/ScanAPB.bin index 78b30bf10ea92840929ca9185b51ee72bc1a7981..3ce34c86e9a55cc59b4d32a2094e9cf4e73ea4de GIT binary patch literal 153403 zc%1CL4}4uknKwT7Pi}6KoAxBRP4lOvr%9UB{-cyqi>>6SC>1MqcUi?1-CK%^zV3>< zy5g>w(;F5m^p!M(5K{h}-lU;c#B8l>LE&Dmu2vT{&9*f})JwNwWD7>9G|(jX{eEZW zB&VTB^|$Zu_rAZ+nSAaiXXea2^UO2PJkK*T&&+9g`^I+!f&noVg7_06V5!(@5o{dL zPo@Zn#iB$+`5u3Qc~riqHwy8qPvD_YctH8V4}S22AN=44Kls59e(-}I{NM*a_`wf; z@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW z!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h z2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22 zAN=44Kls59e(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59 ze(-}I{NM*a_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a z_`wf;@Pi-x;0HhW!4H1$gCG3h2S5114}S22AN=44Kls59e(-}I{NM*a_`wf;@Pi-x z;0HhW!4H1$gCG9y3EK=IiVU%8>*Mv&0OGqg2yy==Aq;!fLk}2cV8?(dnC|{&#+m;O zhFH1v-|APN88_F?i1(hY{Mq2?`a4m7(d*^^?~Xr+HWLTKUU`&wARg55K^+h2_>Xlw ztm8k_@d6$Ho{mR!Jgeh{I{uiBM|J!W9WT=HhjhGH$M@-YiH<+0<1roItK+3Q-lyZU zbUdZwvvvGl#{b`@uOIj0e%z1y@&7yG)B3ya1~+clD=wn$c%A%L|DS-rANS*a+>iTl zKkmn09lz&4)0qN8ti8$DwW-h$7vF3=eO=NJ;$|}wyxGY`*QE3L%vw8ChIeh{3$M%6 z31NxlMJzl0e?^-Ak>?`h`L-njcd7IX{!INBZ`1jY^Z(ZG=b~FjWZhl$s5=|myvcSF zqTCj976OK0i-K%;;Zyg0#}e6wg-`K$7^UqVj~1p!J#^SM+T>jNLX)%MWRtToWQN8;zi3v{!MXHX zixV;Y-_W`^QI(u)1&}_m8d zK8m?{Um>qFkBj7NE6}_@_Z?=IrwXRLXlF?5BP9i)by($?^Al(vUQ|XEDw~#+1LiwbzMLYuhLc~qB-!#1C zQFZ}f#$NH11rhpDnN=rEPnO9%9G@$2;S_hyb;#}HMT_lE|I6fq@s1I<+_2cP2lZ7Agc9hZ!p$Av;hE(Ikmqb=J6LCsyb}Fz z=Ztc%fnQkR7k$4MKT9mU8^2HJUx(*;=5IZI+w}8QmZ-Z@KcB$wz&ZS03$Ewa0DR^F zhlZPU{HC+y5!27}5@)`v)Ah_-=EvHdV)Z^}l{U(yzt;!-!uy zqyCj1xF^{s*+?SFen5X$>oFGY2Y-<#GmQ!K9KkMZJ2wZ?~XCk2rzX%FBGP#m1N~)n~_1rkuLt zSc4NDE=(WC*d6H(B?2Q2wnz%A3}bi%xE1z>ohW3@HN#EJb6`}x15SX$@~TadzhvE+ z^ADUl0NMlRA^cAC8O?b&-`Aj1nI~D0&Y{dzRd(Pju+<9iF4$eMWzSH^3T*w3lBJd? zUEaq21btW81rwy@5Pmc1hW31uG$ZYZMCu}GH$A#N+w|xS!%dHV9{;by|LX_e_~<{Nen_UJAI>yA zYU}5JY=@1SDtt84uHp-kUWWhI@x4>{=ye0on|ud(Py_k-u}(wO_P3iN0-G;8_t8&V zqP&@TRl7v6pY%aG_{2hg6u5i?J#S^#B%p_u&S4#f9a%vhCrtqNZS9cngi&sX>d7OJ zwL952GJhm-72@wFj~8R!3;kqCC7T^KL(W&cf= zd7r1uU!J85$Cxpr%-cL=-f~8nO^Kl0OIif0Ve2nvopx`IvbO0|X2_KVUk9z? zdok7r32V1iREc^XG{hYIin8xHa7vHWV0QL_Qx6v80*~Bg-h@86ki2@d@W2VDE;E<- zhHbGN{|)?~Uk&(u73g-%Us8r?IN|0|{cbLJdG*Y(^o$%!3Bx;LF?vtJ1_S+Th-2e?_l0_+jMK29Cm9kPsJ!gbs+8oW8nF^cv~kITSM&=)~D zw*rhI7q`L#r@BIBU|8W7Q23b|PT=qm;Mn&kY?L2HUz`{K4V^kMN7Lg1mmcd#kGY$j zv(Y1o^gn?f+dcI7#7ue+=DE-!%<(o2^Rph9?;{-hwuN{OfG?a6KTCLkrRCln;!9k; zI1)e5w@J#R+srWdZOx$M5zFO~RW42Dk|yO(zluiyelBtU6KHao2mhv-G|8!c9U)B4 zjR_NzWg}U>u#I#Y(r`%=56o2_m=(i?2L{+4dv`{T6O7rp_!VIsjCKYy0phB3YcOyW zvVREqsh_|zBAA|hf3MQNkXIF@lz~Qi@=EGSOEi8LGPAfXXs+B-(3~GwE`*Y$jpa;- z%^u}Au1e=$Vmk9A!{*8?@+F(>IOJH_UeR(l$2NHIFy9YHt>~`RW|(vjrt_zUnfEo` zLqEL0_ki;};bkKk0o^6%-IeLdzmoFFglsn8$&FKZn=fP6(&uq*x;yzSoRJm?zj zLtg@Rg!&)<1?sRyKzrg~??%7bp@)bw>ii3?$FN;Udpq^PgM|+pBTWa!zz=i5Bd`VL z9>bg=%6Aw~&=+CIbyMtImIy*NjU5(Nshx76UMpNNLfN{|32I>ixhrL+aUV!uz@eIEMcHls}nzz7)otq|J%!m8~ zu|^#F`|tt7yaBK-Qv5gZ0MetN-P>q0kT(gx&JO;BuwmP5^5AI?=h|+KNo;ELTXG-j5sD`jd6oo z_nMeb+sYD)kJCn?J&Cl>NqZ4G5@S}t4!~HM$kP@8PrQXX5Ou7~p8wcdjQ@-A96VEx zKDO4QM}uBH8ct8H9Ga51<433Et$2uV%hQufDL1KK9aHO(^kn1zKHWP0O%D!t{K>jC zJuxw@TgM#_Ok3Ha6ED$T0G_vI3m*WeN`fr0=i?GFa@h+-(?V2cLOu$q3RGX%4 zlPMc#7TYt7vV_ew2-uGi(2)E}nO8&o%=kINTchSR4~HSg^12VAiq63|{%`1mD?RjD z{ipT;>5qQ+CEKduUgCj!p6-XAdfu<`ysy;nKTBKDzSYcw7aOS`NyEDI#A>N?CEura z!tUOwbn(OrrfYb0F1%oRVv_QXHU!uEDbJOhDJ$l>xS9uF!}NdSJQ(#sZv|hW3^eQ@ z%`=7uxd_c>r|!JLt%$l9%J(rM#5-Sy0}O z-_LoEC*IF_6m5pE9k_p(JndMejkee>anrUb;FY>|DRDfLhXbd)W5TJo0?H;0T&;LD za0U4|rTMt;3_cEQAkRHBgO88BE+3yxP4QDYpVV{E6NEcGj`9!bIxhR~A4jK?p8Bsj zmvO`~1RQT=|7sYY^1%2r-G)z-Z}9Hpo_Ck)cdhJuX$PmrKPqKcjC=>(ClV`RbB46d zd7AdC9!s0#xN;IJF-|seOff%r30{ zd&$EAS6(phnRCOyN65p(xm((<*BHZ^KJK{Um||J-(VhZ~+cW2cR}3jR8RgjNsuzJF z&%6nI{0;DEOzU(DI{nfk3m&$PNS$7jc6B=BOeu9b(hf3>_%I#1y9zX|r0za2cOh-j zk8s>#eo)a-`0(r$>g5_&C*H%(_^`+3c)Qo;;F^jP&IOP!c%(T!Hn1Q));87Lmn7s8(_nHp(`j1*M{-vzcBNlp4d~E$Or}GoTe2;pQY$NLIuD7CWJLnv?+pHMsxNr~JH~<>Ko*T!QigXj#l&EO11Ld$C zKiaKuFHI&)k&L$F9uSESbMA|Nr5*W^wjkzaO%F#;oSzs4s^ip_7*;D z^%bUHP-`xM<`isQHIJ*m!kyox!Y%oMWtN`b)!of>z$(I+e2K95K8bvCuNe8V%QAxY zcOUx8-ffkwP3On6u+At~`x-Lj!|*dr7;imNH~eaEI8(Y8c6s(a56_ls8|l-x(q?C$ z8tKztq|FD~-%TDw`sm<=l&`PsqpVfq{guy5kLk(3)H?9DoPLEm_iW?LJC;$$ZwTwP<<#V6zK^6&U%_=OH4myd0UoVTI`{OuV82)F ztrKz3u3~li^ks|#?mI{m-QJ5m?XA}B{XTWXxwZ}Yf9=YRKh91QH*32pD(A!CZCOt0 zH`#anq*Kl#( zeb4**_4^3<_K)Dv=B&1JU7Lq;pE95Fo4RAzBk#dSZ>PM+c={CUm3B!=l)~1&E(!S~ z=Z=!!^XG9qf-dtoHZ{IGJ^22UrrVpCU%$WI^ZxJk`_GadXxGoA9D}_Gp7|7QLrW~W zLF!0b{0-w8hDZNTT%%$9BVoAf=iuF|xrVOu|AQz0dv*TDNDsAUkNGm@@tty<72Mb5 zt{tTF&#|qb$0@E!Ncjx8MVTensqhS(4>O)!BG*$seupFWW-k{)>U_vLuHk0G|j^vzTjcXv@_4KkSQorr}+kw7*kw z{&HY1>|(%qXm3c$&RLv;Q%6nr+hjlcEg8;mjpBj5ltpqbo&Fu-|BNvfh0N&N8_orF z{vIV8CwDQ;L*pXS_!-Dt)=`;$`Ja{D`*JJSe%!rK=(#9pTGVNXVt4P1a|6h;825qE zrt{o=U`t%K!4lS0)BpKv9z*=S_TL{WbFRP3K79^({x{cu*=kF? za)>keAtO;`P& z^}G1+tJBtHUL$|}9DnCf@49XHe?91OwTip{&&YGP=lS{>@8-Qa|N1LE?|WaT{_Xhx zX;tsk4}G=%S`WPS`ai6`b;!H!q^G>8|Bra|ft z&jtAZ5o60H%-usg-{`^j4J>PK&soqf)u<;-+)49J&@_uS3`eaJP4_ashwd$L+d&w_ zA9IQu*(SD;?P8yxTsh03?Z1caC}CO55xztkd)g58wt=|1xMAM$GvFJvMCAdupU}5I zC9ZCtP$%|7VPhWi*R(PCTyBTnulwnJ+@II&U;2o#c@NtUnm^7npiIXPIxznz_a)T6 zO}+FD3&$xIG`2p{COPis9 zbJ)#SD<6?ZZ;FD)XmfQIT+*}0Sop!d_^)m55A9X&w_(gI>@9q>EejaOqOg;~Ek}UE zo2u+UmE-~GJ95n#eC*ai7=+Kd_D;cn&Q|+R;@{2!_uUvH>1nu$oEI+3aUWw3*DJT@ zFy{|^j`RnPN67DN=S-gGT5L+mnh5!Ril=Q+)#VunI z_R*^70Np#K-^}bu;99Qj?0I@^`YFUGxhF@wGYuJ`+9B=W5ZBINYll7Z=O}R{-jEYN zC9bk;dh!bo6v%zl8|BzIv+oQ%4-QgZlYYRz4{e1l92nm4g)!-~Zi^z>Z|TW)$+w<& zpilMd^j(>!?_N-SH|I{b@5=4qMUZ8}?YlGTP3Qkh%R08<@3V4`YVuu@2HQticd@-& z+4A=GSWL6GKTX`q zljxsg8`JsZyu=Mt>umE9SDm@e7I=3rCd-PJfj4KCaZT;fwq%1{3jH$=IG+cc1G&X= zJ|p=Haw4MSTQETV2b%o3hoA4!ZB3Fc$=I(TYp!K`3sLVJuDP|Y&K29+Ebc4Tu$}hy zW0DTZ*vt97i>!IiLS7t)OzAT=+Z_8|8qkNBb1CwV);HhGYFfy(hDOEvz~k4F7Q5w| zMX)b6nI~OjooFlRjB)=h(unOpw$T=#&4;J_?dB!k?)A4rxu_GCb5`*CytVXEWI3j> ztWIAgeHW!HpEyK*O~#(l?GMCB+ic;Z+tgez&@^25=uPdYpW_KK;oJ15Rq{v_3@h1B zf2as$*5m8c-UH@ok8nKfaH=wg9ouTT^4_IO-mfd~C`(Y1^bQzP&aJ^LGXn?`6?0Jzh%Pep34&;#v7ljPU_{ zpJ%>QuU&?@?^lTf>7&N_#8$Qi@V?p0{wKU%D_&^_HYlFAYYB;0vnA|%&^_efq{t7Yj7 zIXaQ+2HjlRKz^1EQhvhjbmiyt9{%hU@SS&d89M80kQeim44wFQEkhSlhEjG~B6it8 z7(AiUPq6Puqt}q7)x_0p+aGEdi~XH;PyD{0S<4V{YN`+VDS_Vck!K9PIj2wr+{4Pk^V2wu|3Jc$NrP@g?o^&h2-8n z(vD@3e*o#g_q*-o*TB}Be46={%{%@K?7%2#A?XrI0LSX3u%3$cj?R@TwT2>mMZym3Ap%BW*R)B;jo%mpkv6DIORSEnbd_>Se6m$D!k zvdRWF+}LG_ng^vm=#}#B74DmWhE2rX5*7bE%`cPXbxR-x!DH#b~BxEONcFUZUFhqb=st1-jZp|h-{-e_i}D) zpZVjSHf?j*f2z*&A3!}k%V773+8SHD=~%=Tdx0Bu?nt2!#|x!@MXINCBgyi5r03(!uodqgzC^PAyJ zEE|#gCE;u%?2+))c_RaQDNOv9r1L)~PAhHt{^kGUA=uiaM|Kf(Lz6rsRLptp@g_4& z**$_Z;y^nq)2Ql0@^qNFvZ((PHC$`S1#PwoaxY zpN)L6nfbbAeLcf`cdauc$yts}@2Y6FnLoQC5g3wm5Qost2x$abTnAe0^Uz|=otHRK z%_rZN^tdI*x!QwFO9pc-JR=D{SkN8JnOzB!^`mVGL+&#h7SF$s*GfUVjLzHK4LW-A z-ssH>UZ^|?+<@0tNsDB}hVAjuJZN>lrcDfRW}^)`*`g7oRgZ4YQl6Bq%yt>s|=SRr1 z^w&c9zo7n1hMIq=>H5K{bp9Kl^K{%6e01IB1(>y@k>Vk_+=*J+xT-+H|o5=n?SBE9xWaqUm#CrsrIku+;e; zQ=SXs`CI7^w=H4d-J83)HnA;1+vvh%g&7@;m@Dm+ELV~&Y5rLIPNe{Gvjy;mP`MC zuJM@hY@-`K2#?qshJ17g2YVW$=8A^;@E#Q=dP7oMp9s zEOqY`u*Cdl+Txo(A^o7mmXU$*!VQ{^%iF>WHy#a|m`mgq#BDKO>fAm#Zc93X=C;-) zxgcZ^*S@2}b!PYlljlC?KTCMPzfO*2@cr$Jt&+QtcR099bXQnK$&e_1$xPTM>+Bfd z1u^EHAFkUavcX-@e6ps*?igf+h%^O^&AhQ0mRUc`CU40^Ewbh)?}IU9%oUR*R#6IbWjgTQxjJEV#6YJKQH1%Q z(H62wlBQKmoAIs-!DGdu=qqo^8T1G13W@m8YFiv#?F2cm#QX~L@65cORi+UI9lx^9 zGqp1?M%{u@6n&D$h&OE10MWy zz`b3riB!L(EhZ{cK~c72HP3ffznSU7XisO%szm!L??SuKj*_;Zh_Nkf8`@IIwshB9 zXp8lS+VTwB;^GM#o%USyR6El79&bDF{yxS%Z5d-*Jm-oNFEpjcPBx{-4=qTKVcc8v zPvH6DL(S;{%79#TSI+5#PVo3_eZ|< zqrs;16Niz9?Lk||4%KdPcBHl)q#QX?wPnwaRMF+2?bs2(y~nAh4ZgZez3YFnrvAW= zRP^$DdcL&ru2l32(rkxQv+)S%b_iv*ezo|A`n*BmGbq0U_-x%kqTQCJPZ6xQ+mkm%N5gBdT3TAfae0xGW>w_CC-m?--R^kqz=J5(B!G3P3hyn z={;?l<-u*Psq3p>%NQ zAINXnE6k7{{~_=q`7s23O<>$yO?t&t2vPdZi&rUcyus~GP_})!p?h;zBb#e%7F%l=d?b~bLT?} zzZm;?zq|)Qj;RXH-@E1-wG#0p1Z53XanbP+87I6MZdx_=fZ>HC5SLpFK05}Klz?dVSW9$wP z51zMT{-3HbRKmU@J{W@o7@t>Q>|OpO-lJc}Ld`3A4wGYPOzryw-u7gn!toAAthmz= z=cA6HJ21xYam14Q9I=%3pg+I6^{cl$LEKAi@c{h-b~xgJ+a0ld7s~Y@AI9N;e!r)0 zt(XV7F#tVCf3~82d9EvcGPxEqitX&p%?f*Lc=TnAdq46%jDi-9sf&_xKx;kLpRDB^ z#+p}&`5yU)xDzMH>0;ude1NwM?LgmnWkR7Xw(2`HT8R_sc>-hqg+h4;z(I_IUl7jD zH#-Yj*JG|#4;^M&W!wuvU9my>iS=5Q#1*=~48KaW<3wAXs7W645ZD*`|qlIEJ}FBtsLbTk24)<7cz~0ygWMwUjGo|koN}9o;p3E zy4()FmG9J8C^?VvXqb1E^ho%HkEpUy{l1U-glUjhnEM7z`fnt|u>EBj+IE3M`=jWG zK6$pU0ROqZV(vGs8a{{Zz&893?T@6#QO}9)kW~YDSu@P`7T7hUf%J#N*yxzTyJS$~ z9p(BO-ywZ|hg_R2`FFm1M4lO^f1Tt#`VPd|-|TD27k#;4+%q=xp45>c(sZ}HgW$^w zrdgo;35(Bj;kGFpUgCS=)<9oX^f}sfL3X+FE9@`G1^6E23Rn(p`>x)1oCV%H$ay5% zc91fry9TW6h*Iu7kw1a&yV@20=(D2Vv%G3|(F=Uetl(a4E&#oM)lte_$pa!peLo7B zY}mm$Jo~G8^&t6_W0~Vi=~L)M#k-fw^EB!FZ(P~31F{9Wa|87z^)_%EyCe0bjd%2H z`yu_lv#1;6a0$mD?N7VUo&(wR%+t-RW83Afu7%twVSVn{M*0=fc1h=d!nWAANWW7# z&beNcy+Zn0UR=(-!agwB&79?;*vPf!iGP{ZTn~G6u$5(Z0Hj;WUU6@@Z!rcMW1FrJ2jgQX<3~NseYwh#CN-T zqX*q`>{l0lbvpkm(j0C65c@F4yS&m9-=p0QSys_ziVD&Kv>9PK?@iLS!e1&`D)*-% zT1HiZra4ze$??%E=Yk)`*gwep96v2Ei#Xm=;60Q(h;hgJGvbbxL28VZEI*9$n4`xI zP$nqaUb|1hn1^xGz`S;+Ra?&Utf*&zb_{vY4y;r6Sp;U;9YVc_ES0wIEnN2s$n~VS z9au`59s`}YH$ys*2Ayhs8T?UH30YAL9y>V1wxlxDGaL4(aeR>PlpHCF={6tae?<$k z80itdCoMqVEc?)v!|Hu>lJ5zR???mC=EH11X+yk-ALQ1HE^ZxipQ-qZuB^oK518L+ zm-6%k+dX6K?dI4k*JBU-`XkD;!4U7?iS8vF%C5sAVGz$dmN>z7k%)B!9p2A$q7C$S z+l0D4)}j2QzRbKzW)^>i{lR-q_9m&?fMD^j8C*e_Mk^Kl`Qoi_Ha~>tDFK2xEQ&_1u}f z8U3W@&8MW!OiAC9Fl{@)SobXKKhndG-{**mV0z-iv^kTr60_y}XtAR8 z)6!nF0=q6rgmQ2d5 z@z2C@sgrvX7xT;m+o#}7{KAv|>(=!q=K%)oAdDrpHAg(4bAKV_2Y% zJg5ojp=?BmeZVW%C}r%5q};nv^yM0+zLTjM^WBE~GL{&KnDh2ET2XBuF6REZl-23{ zDduIp_N@}P3T>Oep1<@0FE z`mRXb33c|)453|XvyFAfhZ^ff(C6V!-lx`BmjnDrOFsV)_i&IN?Ua5sW--$Kg=3NH zucHw&woj!!aL0%ll=iM6mbaI0{)^v-bFH@jB`-SRx*XEN-K{P8806w;*onF%T9) zuc1ffJ!0wn3$%Yw$2Z#K9WD9i2@ml*(f+XBcRDrDAlQzd>UDGS+kBo)N`lWwTk;S1 zaMrL~*RPuA;fV{>GkIa|lbSb7rUBk})IEHXzoMGQrud6{82GKm?^66Wc>C9t74j^U zggN(TE|2XPF<&dsvorGmhr2PpYTSKfO9b06#zx)!W2RSdUA%lVY>wcUD34I)4v%j@ zSDWwj`KRq;SI#gh5@frSX`f0cl_Kc0!JG&Vz^QI(-ieb zgprKowlXh&g z-7Cu8WJ?>hifvZ1py1^^BtmhaV6JNdvV{ThDgpNL#=t20y#yH4Wd-xqMdN zFM~Nz8P`g(zCjzJYe%C-cx<#fo;td8yY?hW9ktjui!KR&(7%)Al{=F*j+EzE7uO2n=$Xp|NMozT-ZClwU5C)y{eve z!f_%kV@Dz9+KTg&z->(jbabkseqcE0gxOBCk#}NWdT&X~xP415@QXT!zJW2RFHjb9 z^lI2KQOL?D{VkC9#k{hh5c1AOUD3gy zGo8Pj_cB0Esyqddlhj49lMDU_+hV5{=Bwy8vC1skxylT60bke#p>|=#c3zkWx@+u5 zgTN~U8D3!p1{=)S(FQY`tINfP>&6EMBH5(u)UyT^eEuU_M;x%FHdxp^E@|bnG&TKOFtQEkY_*E4vK5$LGFD( z%>fEltG3CtqDr=_b(I-GJRAitbc@7VJjd}oyE~Zs0N%wAzY_5hy!%_kXWOZ#881Zq zvxt}5sb4W3Lj3d4Ctv88rc*I#gE?>!_1#^dSvHspCou-d(~ALPFbMe-%*j0TkK=o$ zdFcpx^lLh9>sRY^fh_oD=Ta-ieI}&;J_~;F@?b8K;JrVhPx@K}qH+&h@72@h?v{7B znugw+D5A~Rk{=66o>#KzH1(rv4=VdF5j-BTNVoZpItNm~I-Utj-*j0YZ19Pk++S>v zc4<`lm(X5q5U#ySpI_>l1{9M%1;ACV0Rxx5aHb6XTn4@UT((i(o&BV=f43z9dzIhF zyY@(XO5*q?u2Hf+;-K%)n;-ti*6F(jY;pY_Az=mV-NJ~Jeo#*GW;o!}SfMZ%?T`#S*rW?Vi!jkF%Y7c1wTm?P{;; z)olju|Hd|JIzA!kxLcm1_$hJqwCNsioACZeY!mq4-}i*gz}fm^x(}s{zu;JecbQoR z^j4v4^R|S&iu(b0mT|mWYl~n?%{8D4Lcd{q&_2)x`e8~Jnb85*Kq*PTv$m;C_9NsZ zd1(+d-eX!tOQ{zMgfUP+yQT0^){#{9$5m~@iXUr~{u%~h=jgYAc}hyzcy)zH&GU|82C7+;AZx~i^ zJ~A~X!3TSl&i*^X({NhPQuq5kbze((DDwl>O?tQFC*L=yd|AHh@m&chn{uL%=MDOA zuFG-mNnQmV!>OC3eLg=&eB(+cXd69#7y0VeIzFG*7B;W)yer|nGkc{u%XV7m!^tyW z#(m#Y^Ml^FEn(@oX$i|ZVe<*rwH~zMyU~d9jakLHIq-naFS5j(CgubFrJjc&fAbaKzZ1!+IGHd8^(ri+j%+Oje2I==6Kq+U`E?wZreCN@U*YWZC_tJ z^M~5^YrZ=!<(9+t{gSw-_QijDX8Yp*q1*SgZeK6jSK)16w_MLMd5?aiP4Cai^RFdV zpsgek^?d(>eqF2EtLbhQDZJ_MqyuQ1FcQ9gZUvIAGd~*P}Qr3|Nkl$4Dr0nMdXp@Ff>-wkB zwng1@Str*%praOZ-9w+L9#(m-l{it}0`5kpbxGPJg4A8Zl&g3@q-Yc#YE<7)api#f zZ38du(WYXT_A}o>UR>(+VNXU9B^V!-m^)R`XB77Sn8ULKy=c2U_hv4z+o(GO{c7DP z_(SS7yLaI!0Dp;J%Nz@f$k8KWL|R(HHr#ovWPCovR$#UW})? zouEtWD(4T_#Lf(x*qLDyJFl{dB}~}F&`~9WLC`B@MeFRqY-JNCT${L zM7n*eC@NRJCTo%<`SJFkRix7jK{Lvvm`vMd6~S(q1dYP({FUn*t>Bq1=veU8Y~FQt zcD@leO1`nht*^y5_N}=P_f5J(XyYxISB09#z&nR|Z&{YST zB0R?kXS5&}C7yeu(!WpMU*EaNa@+P+=^rNVuoq2o4ve|ga^Tb*7NyyOo`ZXMJ_))o z6_S3y;ogXtlMH_{pNd}3Js8+4g|u7B^qG$6KB>1vd5taJv5w!t>JxLpgO_FtH=p46 z91?nN$$4>)WpE9tvtHp7R=&LfIX}2v(C+J!b9lA`bY$Dv?ryFnq0Jm)MtbrU%uA!B zx#q_+=Cb5XcP{JYH_l~2r)$Y~_@7Ct^VIo!L;1Wbf4ViTlaL9NMU+Fsq`R4j;TP@J zbO+6&!;kXk@(BKq7HQq8T2b?Ik~5#WlWv@u;RKO;>cHk z_C@X8=4|kD6WhwQ8rG8y)m2fKao#apUsuI+q{U@gI)5Y5c!&DulX{GEt~@;lo_sl5 zC)c$yFD&Xgm<0QpBM5xt9#ChXRP?H zOYX(1ZyTL))~ZRIan|Y_&kW_7n?4W!#c_&s8nq1F|-=p$d0Yx|QA39DMet`0S))9Xyr z>^82+-#a&9XA3bmsgYw*ruHv%#k^`bohCo=0Ca!q;_|JW`kl*!L$w(1WLm z1M?AfRXYFtfkHWkkFcEEf1t(es9FyJKUh)Nl%YEAvjr{D0U>Os(i~@tr45FeH}A7# zI(^moj`yR|ZxVL<0+z|vV{Qq3#b>04Un?%3b$-AbWg2k-p1 zG!4pg?@tmJ*S4o`D0L>=0(n{iJ}XWJtzyoR(ME&tyO6&-D2nYKDSzop9%v7?ftZ> zy|KZ?(>w^+{nH*fl8uPuHwVs7L4}B^94mH0;p(8iy zwTb^qsx^7cUrVI_N4HwfDPZ~wO2$6VbzXP9LCY%j{YdoJP|(~+|Bm612n<6e4c3c* zcize~5_^p;`H@J%=#3=eoXa1B5uolTik)hUS#zAAsNJzT0V>2^Wctq4rqLD@rL9X$ z!->Hb5xkesmVR{l97G)A>bL*83N-4=P0zO?a!o$o!1-kawz;~Ks+wnwUosPM(4uUl zF=3GBA%nS3Ty+?DaX(V}14WcSP)mMtg#JM7>RU(jE#Y~h=ODigO^0f>4fF=xN`q|? zt_7QN4dd&D^VSh}rwIAW4gk)+NTTTI6>^O$+8N9b zFfYpV)2D@Jr0x4f4ca`$vtM|w<(>08CVGxN>@=Uxfti*lU5%yO>2 z!tl!2w@5oo`j_tj&GFv|HD`pI9$GIrZlZV>ol09N)51s#>$C#$6w-o73+lOg1iDYc zp=_a_C-fKhVoO~f8RqxSZk6^=iM%IS?Sm|*p2IWuE1Oy`Ou!D5`lt!%0rHeQv#QP! z&r7Pjd5OcViP`*CAnZfJnyu49JU5Iq?z4n+8t;q^(vIZwDt?;=bs#S9lGS6Xg!m4t zy170b;5i-e!mm)L(aL#HJ-;Vo2E9D5Xr6{{`tS~)N3tIEs zb$7*<`~%x8o*Om4wlZOGtpK>r+oRU4E_zmMP8?I`pDHnq102^md5?~mgRvaw4T`uL z<0Y>!&n}GdudH@B&W#k_9b03G<7*P~tkXVuZ%Sr!lwia99ZZ z!^dD}5C`HyJoaLaLf@|ELz@%1DO^f^(H0YPTQ5o&E*{5F&vDeJ&SsSyWj@yVEb%S1 z=4!kG#7om{3YTNRg>}nsnD*HLq#vM-LSI{XUn=Ag#&pR(^vAwP%ecJDN1c5wM4pom zMe;l!LqDHa_?~GmK~{UuB61J1`^ygbbUEyv>Th1+1hsAY7QOztLHnk>QTm2{*`d!$ zS-bi^TRF;=qYQn`%9yUpu97~G;i+@;3kfU5^Pe-yL!G_A^5Eh3N`DXK?+Bir+{*X; z%D-X?HuVV8SJD=g{=l0z?Od85ZxM&;!=aXOzDN1bcdE7UC;F8Si1fP}kbWH^%I`$e zmQSf~f39dZ>OM8l;A}|A`)~8#=XJ4&y|ATdUU!P!12udzbhv z0?Q+ZC!2H|qWTW!!p_{@^c_)8pA>GdP;DwE6#pM1{3kN5ZV~xxi{FF=#Qjm z89xeJVTgCGr7|(OHqm26VGF&&_lDfJH|0B?`D!x~ff+K6y773s@~4PuU$}SZ{dda# z%gMXkb8N{^{E&S?np5uayGd=JX%_Mg<0RS+SwuSLFn6cCafDU0AM&OnV#e>~eL&C= zL$onVL`||dQ^UD%x3Fg4xhiMy4CsC95=JL%o@6jHJBxufyb8J;wh45282X&+Fa~J& z+xzGrmlD;Wvq2rw1s#$F?Cz))16^hl4)@!@GgEM;VTfwL_&s6JF3bjPcU*Jd>x?w+ zXUaQMFxTQcwEvcNeg2m;pf2G2D+9f-PoDLX_Qk)_chl`l&I{@9*;Xt9waqI9?V+S8 zTz^4+@0I(ke4=};ygOg6kKo;TVRdJK#KDkv9tzH*NAFl` zMGvoY5{GJ?#8yWvZgs>PJoCpk?%A<#FfWUvU#gsN^Z2na_p2s&o}-Lo0sTR|io={w z@gB2YxsELFjBiUe|DJpHq1oet`0re1MO#;a4=b!dHPSjNtQhli-7zI?!{%}3Yh7&x zb}q5l#_CSwWxa&Odr0|hWy0%-%XQ!~&Y^$@&jrhJJagA!@SK{0%d=BMD39?fzg+;G zIj40Q;9n?83Hxw!di2nO^ypOkJ>S4~&&4X8K0zP70M8&gE3DEK{kWRPMb`=|@Xgg` zT*?$BYs32>Q$ivvx+-{Ayd3-UhoE1NK}Q45ciQ+ZceM|*n7TxMgSyd-^IRb2^pr8d zq}7ri;@V83v=!xdb1>Ed{1#ewaNUn-+tQ~9@NOHxc;2xjf4SscU?#y?)*`< z$?Ny2ZJ)nuN0}XtFmHFn!b8pJ5iKu1(atlwkO4#BtFC&%Z_3EFb4=g0)GXk+JIvwu zy%Oq#Qt(t1eKT@Mo%I{j_r#BJuFZ40kK=im{_gei`{QGe<9TbUDC617;=b72IPyPU zwIw6ZH7+qjJhQ?%;^S3vd`Lauo;l*0F4L$pwmL-{ymAlvrJ7?_@BQrEE89DMv-B4V zr^on>?_|U&NfmQ#T<)pJy?F59U+<5$jKOA5dn#)jcTZ)Jl(W574eYyZ-3?X^*JkgX z(=*T+7G(n=&Mia<{p*IP14^1FL8B7aX9)7=)=nv>WuE@75{#day#J_XjVfpJJX{TChK7S5*|s^ogRQ$fEy(51MGXKv8} zTt^$m{Eq9dcwgHccB06;h5I3LUR$r`9DJs3WPBKLuJg7_o%qt1i3j>)G5@1~f2`+j zJ^Nv=9}VVWLv^|6(RwpR+=mLxs6*d($Zj`X+CvJ*ul0w`sM?cvDx&mjmG%?xq|X!a zY@nZ!!jo$?ZDG^M@>@*}(7|CRhWC)mPCee!XC;dFXs3g@tXYNkalD_0cU4F?)x3SW zebhUQ%l6foVe$oR2(IarWyxb|jkb)wVBO#ocRiZxqAmH;Bf9-x25i__FBftwfDT`f zcfqK#H7wg6hEAX5 zx-o76lWR1W4%Mq~khkPtd05l=Gvpb?D=p*tti&fBb=DhW<(04NvGE($f&QVd-JCYP zPR@C1w%p`hM@5ihMXtYq+CP5rL9SUzzW~EL>%B9>XWl#WcK4hDWR?k7S5mg)IZQuT z-ZMjAY{a?$^ygi?LqOf7xK7q-B;=U`*Y^#1mb?4wa;?%9^_1Q8k3k-Duu%xtXXr5O z7P*fvrfjDRl1rg0)IL7fY}d%M)hXV0n;#(_-g|BUZ#~mm^1uHq+s8O@pszUe=TqDx zLE2iblTm(E7-A9q@LTey=)*liA1LC5ct+FvF!2TrU)25~-yT-+DgPg|yNooDz5&se zQ^Yl+e3u@hpZY5Kou-6^pQ+!m9pNr@pVI`>bRWh#O;OZYo+%2!W=Sp)0ocq7z&j

Y7Vfj3;Z&57Hk*?w|C?Z^nc=<=qtM=QgWk73R0F zb(S-KxBkvmg!L@7qAonzMhZ7`d7M5`J%FjsgO(5n?m=-s*=+;&=Xq`e_UX@<&Tkj1 z@j)N0#||cqx@h)|>D9Fh@_DYM&{qxdHSF`3nw(8zP0p3PZw2<|TG%)1@qaP?ujC#S zY^KF6`Cq=&S?Fwn4YWA3*z)W*O~ZC!x^-~h>130$(F=bR@bOzrUl7)#>!{Xs{2X;` ze03e_Tms85Z>LAG&jk8OFh=Suy{`*d3(Q{AJYF` z?!g7^ZJX&6CH;plM15}g(a4rP+0|z3g)7ZjmWUYCWvm;|hXx|rPv`7;3%uthUfqVs z~xfi6@&g%QYzOLhM`5qihR{B*MeTB}-h%^RF(1#*u?{KswVlg-6|NzvdrZ=4uF(>9GGvuhbDs}$ z%B~92wr{m!tB5Ph(_Z0R8n!9v1h}v8J^=k4BKpcrvORK4)CsiUo&!E=>%;I@Z2|3}(=OzX*=f1)YF*-e=Fa#oB7HG=7L@yu zkioUkwFe(ibE!%X+@*kZLzlv7d7T~n4DUWkhVt@T;A?>+%i!J0a_-ZG@;~vEm1jvA zXFBr3HVa<7U+Q*w&&UPbL+717`x|YpU6vr7-)5sPc?s$sYfYTNiNb=KwZ-b=YY8hmft zc5^oMp0mA~cZf?ISiih;M&5U21yC37XOL~=TC+TR+`Djf+z$L6?WxU&{3%$l+L<{HDVC;Ju-1FO1M< zORdGNx!1(Ih&3B|XWJ=JM*iNX>MNtq)@sNTxo4nk;R23{-Ud6GYOta_kBl_>M$ZLq zzaWkeDxcqZI_=NpI)~a1m_;yLZmG z1Bc&?ES`GTtM1(BPwf+|1 zz167u^!wV?{W2HbOi$-_i>)(;9YG`*3@V2aKy4Z z9kF~Nc&WEk7@-AYyepmagin+ILSjJ1tE4ZFh`V=Mxv&duQLKHWdG}jxM^E(fJDeK1 zm%}|($eUt*yRS{&vo7X9_6(sdUwE=PJwW*2=^^TXtxnP9kSQBnnSwDmAo)9L#Zf=M zEmF@r3*qVzpzhO zhJA8G_D%Hi$ERdYQ@*>D=Ty~w%jmmY)D|_WzfR}5rpb2$^1eZf^W8$W^*@k4$h4I7 z7u-$xITBjPyUw>$Hi4~cC?`11`m~IwOi#YNPx&{FVH}rIo+1A~u?^^>DITl_Pir3( z@^lV)cn8P>X#XRm59{I_jeC@?T~n8y_yzl0@zW`Lnx9VHIL%L|{&AY0PJOmr`_19` z(~7qyenc4LEq#Z_JXdd@O_!7JXfL|Dyed8MEbWVw^y$eD(3asnLTZmHXSio5ygJQ+ zUUp%!4Cqu;#k(_Tr}W5unwMDzeK#Oy;*gn9xz8VL&b#(cFW|H#)i*+DAMqDPIcfW_ zUf8*O@77Bj-I2Z@u%*)ZVdnGNQh>*^e?KFxhiW%(o9dTzevV!b;JNO3-m!%~{ZICh z-7aeRJyX&V^!(fd%Ez#BKicNC%_zf@i|kTu*A=&}koDKNdVYo-cWOU%KJ$al+*{&Y zBP1FZ?KiGzS(Tpnm;K;3)Ys2`BY$xGkVi&pH+KQw&yiQA>;l*zv+Q>x4KlHj zV?uu?WjpJizAI+pe%=)W+I@^|*XQRx;*}5l#(Iak6A3bWjQh}4eR2^rWkZ-9Lx zX$L;;hAiaX3}u4chlX6>zQLXf>0f#U$B~xt*VZDBD?5_K7VlQ%+0Ps8-O#cBQ{FH9 zHi?&#V_fTwLx=G@D05u9d}}Joy@k~r`~1!}`pbkITsU>_X*z#_w!z*&8qyZ3Oizr^ z&Tuen&&kH>DLOc1W2GmaWLa%jEkHk>VN(%CS4Cp&Yui-fHEk;9W1Y+k`L_%>tUZGI zVN))LZMpcK)R(T{ordxbMdsyq#lL#XM%B)VeIwHLif({Sx`FmHeKSA@_x)b|9oJuz z4jvwOBlN=tu3KPU$^Pyk?KLkrdwekLhj$~;aQXPWLBNwn~?H!>e~^oc^+!~ zvtFM*&o>viS9Cq?oUKk(#_P+VzSn)t))epY=_xspe;x$Cf05 z57CEB>E`ev6aBOxpYMfkYM0-b2+41#?U}keF~spu+pEqMt?mQf(l0qCD)jx~^VMCY z%>%Hn>9^ECc?&&DzpMrF%;)VE_pVCWhGY%D^P$)4dPV#!cN?0dCG+x*a_Kvv>spo0 zkH~tqCFtACHJ58}S1N^?i{Zir(N#~ETeq~QX4enNR>o#+0&vvo??pla`7W}3M zbU{78HDQV1kEA{e3Pa%_eb95ngK>S=(7lSU^LH~&I+kfVwi#USGwbl~8OqJ>D*0VA z*;mP`GrwyFS<}US%56;Wn{GkgH-@?5l<(L%e9Pl+X7Jv*^3`8!zS{gp@YU5`z9Nmz z##f4mf)DZA*}ckkCr^Ed@jd_l;-N)n;UQD=(E4-WA^nZ({4T~_Ta0@&q}A*2jWdI9 zdj3eh`OJ(nf!1Iou~OgVQbB!tJd#-57q&4c9=oJNpT)+z^LY1@6SlZsNMB{6C4Z8- zvFw?~#Ohq5C5|=9GpO-?=?nC`<2-Ar%g$z5zEAS3Ci17kTPAwx`w+IPhocE=co}T4 zo6>4Mg_n|(j_n_XV_L`iS+J|yt*@&}J?`fRJa}~q%2aGtYY2&X!SGURY=eAJa|FXpk zi(6zK?lpLDD;aKdxNq}k^pkS$_2T=M{Bxhsw%`!smM9o%i#S)w?_bC}#GI+K&WBNt zow5UqZJzT79>wZRM>)?!AWq+O`m+uL4sqeRW{ms)%%bj<>HM>R{S^s2oj*W2Nf?dJ zdfr`y|Es$rj@1=$-g;EFpWoJW)&lR9L;MC0VA!`DBfOuyu)=vO;2i}YeX3o52dOTd z&yWtDHqX-YwmAE#C4b`XHl3Gtv+k2qq;aOv;eJ;S{_{STF5Uh}zuX&?_veK8j5=R_ zeSMt&?2KQz<|65Z4}R&t=a_`F*oYV1q6X8}xUaA6D&GJT1TVkd36rx$jCp zJHTq_;Qlts@jPI=y2q^oeP(3p`OOa-KZoCetEE2#XwG{;>q!IrVm!aY{cAk)7sqo| zMxSNK)bl65B|`tYnwI>F|H}U}z6qlD=7L{4*S$HGOHF@!>aW}6*gf%_8Vm0Cq}BfH ztG_vt@b3Ly?3QU=oS445WcpqUc_sz0pVGW>X`8w`gk$d_Ma#r8U2e&A{Rta!-t|?c zbe3VJ8k~ zeCV&vd*XhnzcKv1h4Nmj@QaLt{|1rQ*5macaZ~sECFJ*H8|B$0xi5Q|?^^Qz^-Fzb z?cbFT>~l{8C;F5RupNm1oVfBn9pHPZ+aIq!!=3MXEuKa{U!=z1x%Km_$3t-0A2S}# zQojC=DKEb{sQM(V)(oDzo4kuQzN~oTxvrV}c*B(EeR5wx`a};#c&0_dn8!Vi!3e+I zB6(sq-d*WL7H*^;DB>lIbB}T#WW@^+ezWX3TluYuYTh49pC;zf@D{1FB~$#oNb|*9 z`T}s?|3XmRrAgTQW*N(CxF2uRW5@${qx`N}uQ@B6&cDF&$d_<^1Bf^IN1g|W)aCT} ziz+(gzp3c*+?6{2Q^f1cd#t1knok-XCk=X~zdY}NS?{?wqnQ5wT$6A_B1)ey)=3{r zeb2=N(>(WFyvVYy??X_ZeRAuywzBKK1gVu5CixRWFME zKmN%*&K=gDgp1h8a!XB~J&wzL%nFNp#3eUVUbS0M*r?H)Ypf`qMJ3l!+6DiIpcaZ~ zBX%R5el$FTwPQe?8ENDBm{g{;*cQB7MudVg9zc9?7vI6AsDX`p6Ljy+rSd%1IDI3! zTP>c^D7;BH0mxOJZ3*am5ejcI9r`qsz!W&eegggF-H|srP5|ooALKc(x=d+@@&%S_ z(swM8?+OTq@2F2Yf=-|_h zV4W{vp>24c>v=BmJQujnsBaVMo86g|&+o=_DW7NLxeVzgI?tteF4B2k3+6iHDb)Gb z;{>9QuCC(Z)D2I;4Dp1F8_`E_uf^}pKt8u+-XGVe2! zq@>@IHkD$jPNBuXw&~1dl1v&Rm$qQR3Kgn$U3TwGW+u(h$;@8__>~FjtU>y3xQrM0*Eikfr}ep&=KnNG!UVD1QUi;UF8m+lh zhqQANe4wYQ7Ods{|D6pju;2lzX*v&>udQ`o3;*+Fx=#9e zqEAH*dyg!pXVmCfUwy9yGO=xn{}?@YO6NG}?@&*nOj$nKq%+a-j1av?WWS0nW`97h z#}4y*x7GZce1FhqyS%D&%^hnL{U(v;itw1{bXp)IQ*UxqYDF)%JnF>+J&(-t(Qf^#JS*ivuS>fj=O_1Gf6@3}?(`sWw<=N?_;Kbh*eVinC(R&Bj1 zTGp@0xb~oDL72vM)dKM4PX96S(e>ZJZ&Bp8zE)P2-oH>$PG9RPOZ7>=4%X;rmep7d z`c;E|)%fR*QopYCm#@Qte$4AY^+5en%%7St556${He}5%Ds!DuyX*b_fcYI^dR}eP zt{F?!Hx@5dyJ(%S({fI}H{I8%y%TeQ{#camt{Y`$qD)Px%#sPq(C=zYn#E(rp6@o; z!&1MnpRdw>C2Zskd={ATB*E`d3S(2VZ`b1+E9WZOJstVCUprbaZBYGY4j0rPtx<}2 z{Eay=-!JGl{l2c|$TQH;ON8}%ZW z`cmEV{pz9l{@U-&_xm25@1OgF`F{VC^ZgA!p6?I*WWGOgWWL{eVZMLW&*%Hs{Bpj3 z-LL2Sqp!^OcfU5@pZooMf8PVs{r%(D+{lvXhsy82T{Pe$IYXan^`Qmb zlvjs-?wGZ}|G3vYANe?R{%4m!w_^Qoz}|!N=aT-xIOx6o-Wz5?2Cgob0qCp~p)-Rw zR1Lg(1FcO(epx%Gn06q0%Sra;k$#^Y-A(7+_mh4HB>ch&K_QlzqyY*ffz6y9nzb86#n|U`Yy-Q5r$Drpl4_E2;hv~gz|7~hAowt1H z6n(Ep|2`^V_j@3zjcv1I3lG!Tpxg9skT2g~6rCY|#&pLz%Jjn38)2OqEY(|xs*zWSiq@9nQSWg*{>(f3gHSNGR#!>)Ay z!v4CkYV_IEsq>zq_rKq!pRNBLPC zvHY2Dv3%q$mJh$h@_{!yr@Tg?ZNAQgdK?|T8UpnvJi}tEL4#! z%;I}I*Q~TC{p9{z^j>fh--imC?;jkd@0(8@uz){KSolHM*fdp7_v!~0t9s3w~xYE6pz5ufNvugiB)KQN*-feuTBjJbP zOI_`WdN>}SIOE9G3!+|%SK1*NPo>==zv_xWRR1m(0*}XyE~0bO1JFfSyUEbLn(mO~0#@^yb%8-8)%cb=;gS{~dh$YFRfb za|XR{vD(@5eB(X0SYwN#(dQOL6VES-cJE&lEgpbD7+t!0R2+T{W18@2Feqzq2TI<|k{SyT9YVrG6LI^Ufuh;_K9G@dd7g|9*ll zdnA0v;?b(@^`qYHwFAeD4?pmx{PwL64b+62Nd}m{rc>*xwqJ_$R;1C zy5&r2>wy1AJ^2rGF8YcF%SYE8P`3oG3|O#bmiNjv^gir<+SmK9Xc#E<@5(@b73I@e ziLE=LYJb&0dTW1F!N1=D|NbWU_XF_ncOkuaVBSFA!FdDykIWmmYOHD?3ZFaiJpArA zvp=9`(P;etsrrxO7nya8MM#cFevY?}6Rq2*f2{xirM2TWv48C^$ujaICtz&bYx_w* zRKY*?lCQnJYG5~)tD@^h{YQLna_vugGizVD7H@KWYu)QRu8!lZA^lDAi@u1`?`b$= ztmY>8iBBM09~ziT?}rMRcYb&fpSEMl(ql-YXU|TczsvJ8h9@+@H==8ImhO3|Dckhl z^HC?AK~Prhj}+B8Ms-f6I_aJp;!-F5PQ?lITfcm+ZlZDBscpLrc_t9H4s*S~;uEO&boQ?Ir!xLc zUAcTJQ|wyi?~El73Q6u)AQ);2H@CD#+G3sYM6yebOFw)0ik0V_E9vKDOpyS`8~OW! z*aiB#A=8^qpYB?nDG&*-qQ~iK)FgF+s#TMbHdRei)71<$Q~6A>pNFBToXO`t+Rf(a`u|9Zu#9=T+Uj^ z-zW2TJzqD*arL8h^u0pbyZFK-kw|mfk|5)joFZjbEN}a8g6!?(B*cXFs^5b*x{V``N+!@2|<6 z_<_iURX;!LwO2m6ZS$(v0`o(?b1wYJ<%MsbIc^+(&flN0%=d#=neSIGHS^QBySuY+J9pkn-?s*CegRc3|IzS)ckRG@Oxy6v zX<>YGKUZuDf8@%;18T)x5A>|R;GkM@WZm=8>pRrSIrq%(yZ>5i<@?|H{Ewdd)tZ(4 zFFiKt15e(t^8O{>?;bh-w<~|qw_?kWzkDB>fV-$pLilSl0;9_;p7(Fdx;}gC*v^6G z&(Zoc-}6HAS&y0c<-aoJFXwV}U-9x||M-OQ(f@FBg?^>Hd)#Ttm@HoNL)a}mzSZC- zpI7A{ulf^zEcIh}&rg9{Pd)SI%Kz}CKXspu^YJvzxa-kb;h**V^hK=S)c>Vky#0Cm z^Y-WM&jg=J`^_H@bf)$Yw9o8ymw);Yhr=AkI2`5h5{Fk!ct&xk<>2EmmxG@}1BU>I z2nUP9Dh_Kntm6>n(9I#op^rm9hpRa3;BXU%0S>!3?B+1YVU)u`4u?1#<}k+LD2JCg zylTQv6o*<4J`Qs^_&GFi2ylpSusE#Zu!h4r4p9!>9C94`IP`P4io*^LH*px?u#3ZP z4uc#w7xo|tMkMWtne#RXZZ_Rh*cz+;Rr0)C0{#TIWhfIkc@{r?EC^dG$UNdN!bB6DT%M}ejP ze*rB0zXVwN|Chkh|Gxs3{@cLPf5?+Z`X2+9{&xaP|Kq^Y{{*n~KWVWz0CxdP-_`?5 z-yo-6=|c)w`fw?*w6(!9G$5P?mU?=C<=PCel+RkKTFU3>H_I7s1eWr7U@2eFe}OQ# z2rT7$fu;N=U@5=Z($v%#dS#N7-(t~8Cxbr*Eag9LX*z3s8L*W9Ym44;VDKk^rTkV) zi-5*g0L%J*3Rtd%{;HKZ{4}tv@72Ik=QY4m=e3raEOlOI(N7o{{5KZ8HNfD{084r3 z#VJz$dW&8`Wbh5ZQvS2RQhuk!n>ygn0ZaMMTlAI)gKq?u^3b=_r2H3vrTiCxrTmwG zrTop7nl9zPY|&3N82lArDgRYqDGxn9L(1O*Eah(nmh!g&OZl%^YNnL`IjUJUGK zyaae5Q%cpG1Uvd3lRoL+fR`G4LL4~4_=mt}8(jO>z!w@k z`JGOklW#@(Uon2rNuTmLr1O36DI-q$R6o*t7%z9FUyk(6jKAVae-`PVkl3wG`XBUI z)E~g-X&apK(*rJior7nzx$w^%Jo8K!CJtQ0_!~~T?{o*d``}A#bMWmNdxt?a-@lsl zwg=&E1Py-o{lK3$_&)fB^cqyf^FOP>sejfj{rb=R8b9Qu*Aw@6UFt31_m1yRJ@F^T zct3C_-M<{=)!SA>j zcoE|iaKrfW@4&jN*^GBO<2}(e=X1R-{5K9h$t8~`#elPnH#_O`zK(uYG2ZK>pZpFN zcFD4Tri07IC1Zy#zu+?{^W^w4ZoJ?&DBVe{i%3UJlAML+OY3>oQP61%zGd(rvKKtK zy@d6(Gh7%(XHaxF6!PF=#&y7=&u0OPK7R+W=<^eSMW16Yh3$&LCjpB-eK}FF2=BxgLg9y1B(v~yE%9tW7y5XLB_C~gTstrHwQ->iN|_@aA6F5rvq z5xIaby2oM+KXZ@B1^moCA{X#8_lR7;pWGvI0e^Cj$OZh#Jt7yk0*hS0pWG8=41aQu z$OZh#Jt7z11QxmYTVRokdw@kQb_0uC{2j2!#l67&jPC~)x%hivk&Ax-7P%M(7P%M! z7P;65EOPN}V3CVa-~q-D0*hQ602aA;2w3FeJHR3r-vt)A_z|$k#XkXyTpR`-W&C4c zk&CB*MJ}EO7P)u^SmffTz#Ju9 zl`2SmA;s2|^!vFsO_A`DR zSor^YVB!BUVCKIEoBbi?zsC#A{P$qPJH-6=Oaf;9d$92wvKV6nIK=$-V6!&F{P$oZ zHpKk*z%~sr|2?oxL(G2PFC|DMx;`x(Pt3^D&buopwje-CWI5cA&y{XfL~_dx#-G5q(zh^lx z^WOse)275>9E?G^sRHtiMu-wG`Jza3ck ze+RJee;2Uu|4!fm#&-b=|6z~z3jbk`_6q-DkM;`x?*kV8!#3>&{}&uHe!|{i$4}TR zvT)diVGH(-GKN0iD{^rJSmfe4V37;x_Prt((CvFgE?xi@xqxopD{^rZc#JXh{9ciZ zUjd6;yaX(A0o}e=Te+L%1fZorxT40t7FLd>=pYar6mJ2WR_AtwZ7kYb`<-$81nB~F?T|LZl;l(~; znB~HYeZ(-!g%^7VxC#bi?=Z}A;l9WTzHoOvs`%FfmtrR(AC2%7hdSqG=(}nC*fWI((SSM09|30md!gHhng3qs_F?9~_Y&YS#?bA<%zrO*`!Mt08v|zkdpm)d z|6b_xVdlTL3z+%uT@TFs_d>UiD8|t3Bg}vA24LpD7kYk#`R~mFGylEN`6I%A==Kre z|0ZDJ|7Ku6W9a!2;Xm~Ji0~hJenj{WJwGD+?*|tCL+6hO|FH)d5&lEB!#y?_`;HOe zKXm(u@E?1P5#j%Jz`}p%`4Qni^!$kMA9{X7_zyil5@n1%$cXSCI)6m?58XZ@{Qokr z@c%2o!hh)b5#c}d{D|=XR^Wcd(DNh0f9UxU;Xm~Ji17bzVBtUZAS1$m>_J9^|IqUz z!vAjp4={$F9})gz-!UTm9|RWuW0N%^{D;9E5&lETj0pcR;UmKT2Y^Qz?*|tCV-GSS z{Kx)cMEL(bVB!D6z{3AWfQA2$0t^5D5qOO8=XXOe(e+fzXmM)ht1k2{D;lLme63>qkYW(D%hia%>OFv zHTE(8tGvL>|0?V?_A&pfV6*ly|EplL_A&pfV6*l`8N*KPWBymcPVMVfON%|ZrSW(o z*xD5iG!|52W0b{k=O_NKKhocisy>~s-!#HlU$li6_V-XhQ!ib{?etL@%Ym9@%WOa#&Ba8RRkKF zS~|-rT+-Q_N+(QhOOolX2^)Be{mEr=^u)4VNcH5>$;P-s7eaPC+mlPBlZi%^$`qFs z8v=`ir+4J_SNoDsFx(oBG_`~y?IqaSuHxOXye=9tMMI~z2ik)nC`V~A*g@x{HzF4XwLUjaNbR7}QWmBlNCDKm+mx_*Sx6O4; z8?8=Db8}NOiko7k1q=qLU__S#ZeJ1%Ma)W;s&vW*U1ft*X{Z@hcBQj1CQhitoG@}# zBHP=UPMWNyQdWer^lZ8F!{zzJ%5<`?G@c;Vwh+lDW9enO)MC&o)Sl{zt><`Ch!UI& zUA@BUUer`xt-Iw`osGG2<&W!L#8qfhHkB}`m}T>&Y?}nOn9A6jVs<)JFinRryJtv= z<=Jf7r1Cs2L3RI4+QH;5EynWem!vZBbZ;WLG*;+YqUAo;(-~{*R;$ms@Pa1$y!^%$ z#eCYnD7n61e;}4akAfue_#dlR!16;GY)a~B4$Cy>l9>c2n0ZchW%KbQC$)riQaqgm zQA|=>3ngW;#oj_P5eRT9Dt9LbCAlTwP7XL`sjoR0q5#U%!iJjcv)3&D(AgKQEnnFdy|S&evMq^NG3H*@LNSIe zmaS_X)F@lkLOhmEmal3%GwFS~wW*$D{+x8Or@YOGZnI6dxhYo0qZMhz- zrgbn73YU6%-i2!}tXv3EaP&obEEG;8#Tco!wbUa}q|h2ddM5AIt#q(XkG<7BcEdtahi8=fN-T_} z;W%}siUoa1oBNVLI}K3+d)fS-td{{t2GKtEH-rpl5=X9OYvchOX$k4r=3F~cUyJ>XE-U6It&5^QauC6OUdG$K9n zW}|+CJaQst45rR5ZprbOX^q;NLb2{r*8?=_=GK;Wq@h+xLyAewl~S8QfgluHOH&{$ zT`6xuccRsF!ZrQeQ`3V8*Y3T?<0_!~n~eQJJ^vHtG)-N|;NIGX5Lr@)cP80~k%Y*io}m-X zY~}LFO(t1XP&eAmCo`OAq&&MhLmD?kCW4&@mUU`KFPL$_^rS@EH|c}3rL?9Nr19iv z=MSnU46)K_nXasB^pz&L)Yph+ zCigWYU3JfQWZZ1G&}64FiDX}yYf5vVg*QZ|@eDl?JWrIRrIoUHt!X_tEf_H6E5!hv z?dgGe(Y(_JFjPo=Ea{d{=%`rQjwSMC3zbjyWQ$2xa>a6W!Yj~~l1CV!HVV2QO-yIu zb3U6bmhrh%LsxGmZj%ojGKMdt4WGUkOVy?4Map7ZN(3=2lBd!XN+sNba2Am4PT2~E zw5{1t8XOi9m1^lBds4;;UDg=ul1`T9bEbK1TO#GHr?Q=~e1(!bj+QCkg0m7qh%Xf- zQYhwAne|W||M?oW6qe?b$xQLTT8Y*qh2z)q-=H$788C3epS+Q-La&`GcEg}0?4I6Y zvJWjvJeSWFv+- z6-&oVsb*8ENeDuDnOIMf@>+}%4>>|s)-d;qjBo4Wh^Z&!bWRUOcTT(0!4}h7U1+h^ zTQt9{oZ3k9v-QxuVQtZsj;$}SE@HJG&-P}Dl4SN-xqK=cPo~n~Cui_ZOD1rco;}%U zku9@(VtqDWW7G9=p;5ev&h>gHOxKZ#j}$j1ZDSf+wZb|>k4xq=X&d|4s`btpri_T3 zR?{tEs?(WS*_dIyirHd!GGEYFH&r^Ux>K}UGyA2o$rW0J^$N6AOnt0a%u9)8T|&Fd z!H|1S0~1eQQ)q(O6B5`q38YP{r|WOg#MJ#L%gZO}eHp1uNiG#~O0B+d#ry5GIu#?< zHE`lZ=dAe9MHip<;d3}Utg}HYJKNQzD{5vrXfkOnVNMO{RQP~)flLXfHi^tE?JeY& zCg}D+NrH>nY&zZ@OJxdm=Z8w?qTG%$Wog^mBB!0bN+uj`SUZ$&)0!Zkj{MK=fL&>_em(;!8 zRa&QJy}P9;Rdxzq^d?Si(?*UuYJX^T791!xgt_<4bnhp%p6+C=MPf*Mh%5(TqhZ2E zoT+CU%Gg5^wmBh0Gvu-9z8t3*U%_^Yu*lneRCl;y8y0qH0HR>ANB4-Pv8jckb|D!r zpGc(jq%!4wqQvfOZ@#=&x@aP{rMzc4HJhpE9i?vJyG2fybn<4W3RX;}w3EzgNhhZY ziGnOWD<%vqo3WF9siF-tQP3kKnGJ#DT^XH(l=5{Cwi~B7VD|BLqL8(_W0?dtXu7OU z=Des(_a;@a<9)GGYD6X(oJCi3FUXk;2Lh#~Z!=3Dk;zV(n{3WRcV$d7 zfy&N?S$&4Js_f!TLW^{ zW9%BFB)Nf#gm@7`dJ*(&$el$yp3a&%4T*$@OZD(=M!UCD#zKaS#?o7wF}{mvm&At%XkZefM>tph#62)RkN_D+{AZfP}xG!6>s&Bd(&kY4-VwynuR6w)^bG-s5(KCNA` zu(>4=3^`hoXSyjMT*|;NFO>7iq|i!pf7)F3HV<(QM@;2S`eq?5qh>=>c-%~CuOy5K z>gi1vxeVeuDV9j^R?o1MD%V3^%X3h`9z0+t^Z87+Oqs&Kkt)?4ls+R(-}f_#qTq`O zTrG*LNns`{v}m#?nO~pG#JA{@<#Y)19+*^XC=dW2d-Hj8(vCwRtsLt;mg|`1tk>DCkryIhOkaz$^P-( zLOa)7kb}UDiXCkXs=P2iQR;*1KjEjg#)~bl%j2P zAYz;Q!J0enEg=>tU(9YO`Ts4Y<%|{L#Z*tyX5kB$xuT)w_R>xOwYQtec9(B9ItHQL zULV88TibddnG~J^>JZBY{aH~DlY}=9h8u!wStE51#|hJ6Yv*a1_M8?+&{-d zYnxlNp+&20{<{GNsZC$l7w&}j5swbC}Cjoo2*&io+4vU5#q@|Z>7gIm^g#Y>M_l_oZ4XpqX94>B(s-WAnbNUNlv9oI zY)=pTE%g>Y^OSj4;w1fWp?;OglZRaSwfwz+bE_=M_fVOsmrYRaJ&aNA$QtE2vRbL? zxvp~Zewf8hxfi-kIesCC`3Me5uk^=*I@NsY-y~N#dSsvmkRQ(kb z)Hm*9@pl!Mv3Px|xLrNZCSGOYFLZOsfbUih@VgqudRqru82=@_q$ph`!&AK ztcY)v_hN9_Efx89R>beK)S>NMSidG7@tv;tA;fd8_&>{dJ!ZTwVSb+BIL*(?JU<=} zPa*oFdFwS*yaVy0u6PjT=~>yiTz(nyUv}l6YpJ?RT=9>T_utc95zkh{udvif#8->2 zzt&Pepy#}OW;}G>=m!I?_*X3TIPvKej_*SM=o$1P_wSpQ`ru)FzsmL9$L}~Tn(=$S zZK*Cl;yXD1K}&VhbJGhs{-~u=^{)7j%{%sL*6{T&Sn3Iy*8sQwuaxqe|;x&K{}KdJMbg=LUI}U6s~j*u8#(a#l!{)?@gP zEB=_AlW^8!uopJQ?k!C4P;2Ethn zb8f;}4|BG`Sr2n=K$X_RoHbCT_1Nd)f7Mb;jk@D!SHwSpxNH8ZF0F`fwbTQ(uK4wc zyX2<|^Z$U0&sD>SyVkpEzoquO`E?L+7r(21QW5_x;(boMT2;iMA0Bk^vwA^AJYcB< zuJKj3BmWs!d?oVNxZ=h9hW|;zS&aWyU7*b zZK;QqD~|bj*fk&32M~Ahy&C%TVV8VWV?G{s&1VhP|6vz@YEG|+w_57^uJx)p-%|hR z8c(JDtXT{Dcok<-`53+b+7-`~+s~TI%I#;(Rmj)+#OUi9*q=i#dsnlkqP@|IIPC9i zTEE@1boohBWjuZpKiN_{=nT?o&M&=H-%R495bO=DcSD`YUty`gC*Lr@<=0s1N|LXB zj{mu(?x(oL@lM#sles>0dD5lACvG45X!K^zr}z$(U+60Td5m|5Dj#hraAsAOZC!v^15CsEMC2 z*;4n?`Yq(R&r);HAJ1HlFBEw*?Vk{_=yi1PUpRji)|d2Qb)9kX5|+C8b){xZ<9zU8 ztlJs?37@dkbADI(9im^j{#z`y73<+y%-7$E@$7W9cQ4u}`TH8jhcJIRXZ}uj9P?f8 zYVV&h-!vc6ANUvF3pnL#r=UL#PJe3OX{o<~{CJoTwePm*l_bi0GRGl5_tW~F$#L-M zg*C40F+ZzFe{lb6!LR3bQ&%{DHTX&PVkhTcjQ$Nce5i#yT}k@#Am>A$eClLpeQGyg zd~==g)%GIpbH!o*`uh=mgv(z8e~H$&*6_U+{9AvSGoQ7X??+a<+P}Y?pS2HK>hhBv z`KbLq=5MDfANFq*$%l{gepvO%+eiAP*5pry z{_3UkXAKp240(TSyX*QfOKpO_@v!_(2A}_anxmg4V}73|`JTr8`2+NMt#kbp z%;&e@A9!|{@>5_B6YyU>-5iJi_0U2`UrYg?UkE$%F$MbYxw)?RM%gc#{!jTB%CB|h zLs{(G;jI6ZPow@?R~-EN;ZZJ6am?3_R}p=Q^Rd79B(2vWj>8{#g7hEj?)q5osf`b~vAf8QmKQ_sQvh4ho3uZO%osq;CG z`S|8#4nL+w#UC(yo|;B`5m$RxW4-;(d`;bn^~3cZo{y=wA%C%}KX<|2J@4qFsrxN; zSFNKDrhd;-H{R{=Y3gI*PjLHBiT}ZI_@Cb^JlRu5ld(sV_dXqnGlDwza z^miKgbL6Nqo@vmB|3K|s%jMxuoY?2clX<5n*H5&Bzw|wtpRm!t(>9BLVE8`mQnPW!>bCVx8S;|nCOmv9{ZcKQ(QJ2-w4>@)Pghvk3zY2uHW_NK$1J~+)8|8(%@ z$n(y8PKSPf`k=G^(?22a5;gsqer5T5O~0mGpG}8>rLt(Rn)x{6U62pVpO@!j z#_8qyct%sj_3wv%a`Ab_TCwjO?-BdW@$0}(*Z60^pF9$F#lMO5rS)Jw&-fO-uOI!Z zHS;?IGM?_$M{o_PwzU8{|NK5&{?k;@VBmLaQHLh6fe8$ zKca7_J?P_m>C8C$uQO}0o+J;oroNdo%KfdGr1m|NvaAeGt|99}0 z=>8JhA0OuHE|O2y|GrVlH~Pef{ldM}KlTTF;O}+h&q{xvly~lMf8oFNzUr#)1$p-l z=l{aeKld#1=)?Yte%VR-S2v}cZ*}m`p5Eo~y>79kJ~8U7S6!>~t+ExEPt-MNns6%CsEq0ZELiXd_KKS+g zZb$#sJ&*km$q(DNx__7bwkbag^1A6{NB(C)|8H+__&Vzp=qoDD`;A%eE!TImR+sDV zSr^Ow1FnBVIiF|cf4 zd3o0=_XqyNgFaXMUYRemUb7!5_eW-9em+m|X0HDymU=(@;cC8LF#BJ4`0Ve@{+*>x z!url*dt85lr6L&5OxCyc^_JRwtz#eS-&KBneHiPx+mVO*GesUu|LUQC_R;-B-v8A9 zrKQ5I{YpLdk5_MZ>|Z_f(`KrV^>=+i{8=-;`paO?UN2q$Uw9A4f9ky(A4}%5`mc|F z>}eAHKV7*bp2Bswyin}z>S~ND+g`Eu!i((H=Uu$kwh>!d7CUE^eeOkPf8ZQ@`8n@9 z?*g54{)$q5t}(!`6DTGVjX`84vNrnK8B5!VVm4o}W4(PU9!sa~iLqPM4Kiz)9;823_-o>(e_uQZ?Oqo;j}scfd8hf~l$La92_vCM`7I!JSrETDJv z1aMcX4@vaArrOwx$?u^Hx^nsnPE{x-5S5rly%~+sa4%L$|G76!kHqWOgA|fQlrj%D znWGG5JSrDxrdGPry@l?#^rTNuZ`#&xyVxAtqUcH9Ep{<$CsLbIiKJSeE%FnDDx)D9 z-ePyA*6V07o7EW8Tu5csr;~JQ648qysbE*(?#01i3Q? zLQ)r!nPPs6seOF9vg5g4szli!Ed7jZvS^D!(UK-CFa(z~r2VC=Xu0N3lvAV$x z_r>O6{Bz zI_gOxMm0%{6q8^_*~E{phg@0tV&=^}l;={T8K_YxA9|ouAC0B+v|agZ4`R7uUX34e z57v;>#QI=BbFi3?gpZdAgFiLEnZ_STXZ3waM)20fBYL zdo!4qIL(i)(#%kSo@iDRixw+UR<~ey=eSNvo_;VnK`o{`?Np%vO=1*nA>N%#^rACV zeP@iyR_d3YWKRzwN}l3Y_Hy0uM3bUh0|U*OEPi+#1@%Mk6I`Ir(It}TUUrKzCu{Or z5OXBeiBPA~Puiz)X-GsD)rv8cD%;dmh{rOR`z%qTGfhwP!={xMkBMENYEt+wgNBj` zn!HjM^|44AVmwDOmy#dvmBFI9l7b-e<&(wUe1=+ZNfL@hYh;uKfm-Em5bK&DptF zLTP&Rk}h^mI8muiT0%N&rno(QvJIo5!Pte)Jc*Z`5pzIqIk7J~=Y5LbXhDOgb?S+2 zNOtyiDSggH(>@)CBH5BlPBghT$tz|?pOhi-HB61iit%nNP!Y@Dn=4Mp_cA(_MoFhp zsjo?tBIhNU*_6s>Gpaio!|`<)8H}DU(_QzRVev^M#RIdoNj1ia7B#IZtl-3RrY|7z&}SxT zeDQQF)nkr6z+KH0vS7qSsyoH(Nr15_lEsn?s0l2qK1HR?_{QE8)LT+N4oRw~n@pceCt!s1?VK{mzk$Cnks217 zRnDMDgqB?WTl1_lprL6#Y@<7*LRc)6lHb>m1oFt+s zFOWkJ7J^c53SFC+Dy*6?1&)TB=t|vxQ5h2{Kh}J2Y=X!<7ILX{+Q@vtQEqhHHE5R6 z8B=VNb`A7=WqXUAa7VB!qga_O%N8p3Jc<@T-DB{Wdckc*Yl+=O?7ppk_EdZtc=p-S*J;N+;nU}W0cTS(tHzm z>V@9U9_^5KZH}ckC^<^xTvp7JRzXQpzQGDFmNW@zM*V6HI`(5IdOW2iqMXUvntI@Q zlGd0^xTAc^<#%&K^pOeIMGlcQR zo&6!qY&@+RJIx4ou`P|3+d#EY&&nSChCc>*(fq;fHs5Osjebv OXRKS&um(FB9sj?sk2jJ4 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/.config b/designs/leon3-APB_LCD-digilent-xc3s1600e/.config old mode 100644 new mode 100755 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/.lso b/designs/leon3-APB_LCD-digilent-xc3s1600e/.lso new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/.lso @@ -0,0 +1,1 @@ +DEFAULT_SEARCH_ORDER diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/APB_IIR_CEL.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/APB_IIR_CEL.prj new file mode 100644 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/APB_IIR_CEL.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/APB_IIR_CEL.xst new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/APB_IIR_CEL.xst @@ -0,0 +1,5 @@ +set -tmpdir "/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/xst/projnav.tmp" +set -xsthdpdir "/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/xst" +elaborate +-ifn APB_IIR_CEL.prj +-ifmt mixed diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_CTRLR.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_CTRLR.prj new file mode 100644 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_CTRLR.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_CTRLR.xst new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_CTRLR.xst @@ -0,0 +1,5 @@ +set -tmpdir "/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/xst/projnav.tmp" +set -xsthdpdir "/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/xst" +elaborate +-ifn IIR_CEL_CTRLR.prj +-ifmt mixed diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER.prj new file mode 100644 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER.xst new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER.xst @@ -0,0 +1,5 @@ +set -tmpdir "/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/xst/projnav.tmp" +set -xsthdpdir "/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/xst" +elaborate +-ifn IIR_CEL_FILTER.prj +-ifmt mixed diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER_vhdl.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER_vhdl.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/IIR_CEL_FILTER_vhdl.prj @@ -0,0 +1,24 @@ +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/version.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/stdlib.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/config.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/general_purpose.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/amba/amba.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Multiplier.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/MAC_REG.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/MAC_MUX2.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/MAC_MUX.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/MAC_CONTROLER.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Adder.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/FILTERcfg.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/amba/devices.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/REG.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/MUX2.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/MAC.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/ADDRcntr.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/RAM_CEL.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/RAM.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/iir_filter.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/ALU.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl @@ -0,0 +1,214 @@ +# GRLIB Makefile generated settings +set design leon3mp +set pnc +set device +set package +set top_hdl + +### Project Settings +# +# The parameters in this section are for documentation purposes mostly +# and can be changed by the user without affecting synthesis results +# Multi-word strings (e.g. eASIC Corp) must be enwrapped in double +# quotes, so "eASIC Corp." + +# project: string; Project name +set project "leon3" + +# company: string; Company name +set company "gaisler" + +# designer: string; Designer name +set designer "" + +# email: string; Designer's email address +set email "${designer}@${company}.com" + +# email_notification: enumerated [on,off] +# When 'on' CDB sends an email to the designer's email address +# with the status of the last run and the log file attached +set email_notification off + + +### Design +# +# The parameters in this section define the eASIC Structured ASIC +# the design will be implemented on + +# pnc: number; Part Number Code, unique project identifier +# provided by eASIC +#set pnc 50123 + +# design: string; Top Level name +#set design leon3mp + +# device: enumerated [NX750,NX1500,NX2500,NX4000,NX5000] +# Device selects the eASIC Structured ASIC platform +#set device NX1500 + +# package: string; package for selected device +# +#set package FC480 + +# device_type: enumerated [sl,vl] +# sl: SRAM configured Lookup table device +# vl: Via configured Lookup table device +set device_type sl + +# technology; enumerated [std,hp] +# std: 1.2V standard device +# hp : 1.3V high performance device +set technology std + + +### Flow +# +# The parameters in this section provide various options +# to guide the synthesis flow + +# fsm_optimization: enumerated [on,off] +# fsm_encoding : enumerated [auto,binary,gray,one_hot] +# These parameters turn on/off Finite State Machine recoding with the +# method defined by 'fsm_encoding'. +# Turning on this option can result in smaller and/or faster FSM +# implementations, but may lead to formal verification errors +set fsm_optimization off +set fsm_encoding auto + +# boolean_mapper: enumerated [on,off] +# Turn on/off Magma boolean mapper technology +# Turning on this option generally yields a smaller and faster design +set boolean_mapper on + +# use_rtbuf: enumerated [on,off] +# Turn on/off long net buffering using high-drive buffers (rtbuf) +# Setting use_rtbuf to 'off' disables 'fix fj90 rtbuf' +set use_rtbuf on + +# effort: enumerated [low,medium,high]; (area) synthesis effort +set effort medium + +# timing_effort: enumerated [low,medium,high]; timing effort +set timing_effort medium + +# timing_slack: real; initial positive timing slack target +set timing_slack 1n + +# clock_effort: enumerated [low,high] +# Should be set to 'low' for 2008 Magma releases, can be set to 'high' for older releases +set clock_effort low + +# utilization: real; area utilization +# Maximum area utilization during placement. Typical values range +# from 0.7 to 1.0. Lower values may improve timing or relax placement +# effort, but lead to less area efficient implementations. +set utilization 0.8 + +# clone_ff: enumerated [on,off] +# Turn on/off replication of flipflops to drive large loads. +# It is recommended to set this parameter to 'on'. +# Set it to 'off' if encountering formal verification issues. +set clone_ff on + +# fanout_limit: integer; +# fanout_strict: enumerated [strict,noworse] +# Sets the maximum fanout per cell (fanout_limit) and how the +# synthesis tool resolves the fanout; always buffer if the load is +# higher than the fanout (strict), or only buffer if the load is +# higher than the fanout AND buffering doesn't affect timing (noworse) +set fanout_limit 10 +set fanout_strict strict + +# timing_paths: integer +# Sets the number of timing paths reported during the various timing +# analysis reports +set timing_paths 10 + + +### Directories +# +# The parameters in this section set multiple directories. +# There should be no need to change any of the following parameters + +# proj_rootdir: string +# Sets the path to the project root, as seen from the 'run' directory +set proj_rootdir ../../.. + +# srcdir: string +# Sets the directory containing user files (e.g. design and constraints) +# This typically points to 'src' +set srcdir $proj_rootdir/src + +# rtldir: string +# Sets the directory containing RTL files +# This typically points to 'src/rtl' +set rtldir $srcdir/rtl + +# constraintsdir: string +# Sets the directory containing design constraints (.sdc, .pad) files +# This typically points to 'src/constraints' +set constraintsdir $srcdir/constraints + +# snap: enumerated [on|off] +# Enables or disabled Magma synthesis snap-shot generation. +# snap must be on if the CDB 'start_at' option is to be used. +set snap on + +# volcano_compression: enumerated [none,min,med,max] +# Sets the Magma library volcano compression level +set volcano_compression none + + +### Constraints +# +# The parameters in this section set/point to synthesis constraints + +# pad_file: string +# Points to an eWizard generated file containing pad and macro placement commands +# Typically points to 'src/constraints/.pad +set pad_file $constraintsdir/${design}.pad + +# sdc_file: string +# Points to a user generated file containing timing constraints in +# Synopsys Design Constraints (sdc) format. +# Typically points to 'src/constraints/.sdc +set sdc_file $constraintsdir/${design}.sdc + +# verilog2k: enumerated [on|off] +# Enables/disabled Verilog2001 support +set verilog2k on + +# undriven: enumerated [0,1,X,U,reset] +# Sets the physical synthesis tool's behaviour with regards to undriven +# pins. By default this is set to 'U', meaning leave undriven pins +# floating so they can be detected and fixed in RTL. +set undriven U + +# topfile: string +# The name of the file containing the top level RTL module +#set topfile $rtldir/ +#if {[regexp {\.v$} $topfile]} {set top_hdl verilog} else {set top_hdl vhdl} + + +### Design files +# +set includeList {} +set defineList {} +set netlistList {} +set vhdllibList {} +set read_netlist {} +set read_rtl {} +set read_plan {} + +# GRLIB Makefile generated HDL list +set vhdlList { +{grlib ../../../../../../lib/grlib/stdlib/version.vhd ../../../../../../lib/grlib/stdlib/config.vhd ../../../../../../lib/grlib/stdlib/stdlib.vhd ../../../../../../lib/grlib/sparc/sparc.vhd ../../../../../../lib/grlib/sparc/sparc_disas.vhd ../../../../../../lib/grlib/sparc/cpu_disas.vhd ../../../../../../lib/grlib/modgen/multlib.vhd ../../../../../../lib/grlib/modgen/leaves.vhd ../../../../../../lib/grlib/amba/amba.vhd ../../../../../../lib/grlib/amba/devices.vhd ../../../../../../lib/grlib/amba/defmst.vhd ../../../../../../lib/grlib/amba/apbctrl.vhd ../../../../../../lib/grlib/amba/ahbctrl.vhd ../../../../../../lib/grlib/amba/dma2ahb_pkg.vhd ../../../../../../lib/grlib/amba/dma2ahb.vhd} +{techmap ../../../../../../lib/techmap/gencomp/gencomp.vhd ../../../../../../lib/techmap/gencomp/netcomp.vhd ../../../../../../lib/techmap/inferred/memory_inferred.vhd ../../../../../../lib/techmap/inferred/ddr_inferred.vhd ../../../../../../lib/techmap/inferred/mul_inferred.vhd ../../../../../../lib/techmap/inferred/ddr_phy_inferred.vhd ../../../../../../lib/techmap/dw02/mul_dw_gen.vhd ../../../../../../lib/techmap/maps/allclkgen.vhd ../../../../../../lib/techmap/maps/allddr.vhd ../../../../../../lib/techmap/maps/allmem.vhd ../../../../../../lib/techmap/maps/allpads.vhd ../../../../../../lib/techmap/maps/alltap.vhd ../../../../../../lib/techmap/maps/clkgen.vhd ../../../../../../lib/techmap/maps/clkmux.vhd ../../../../../../lib/techmap/maps/clkand.vhd ../../../../../../lib/techmap/maps/ddr_ireg.vhd ../../../../../../lib/techmap/maps/ddr_oreg.vhd ../../../../../../lib/techmap/maps/ddrphy.vhd ../../../../../../lib/techmap/maps/syncram.vhd ../../../../../../lib/techmap/maps/syncram64.vhd ../../../../../../lib/techmap/maps/syncram_2p.vhd ../../../../../../lib/techmap/maps/syncram_dp.vhd ../../../../../../lib/techmap/maps/syncfifo.vhd ../../../../../../lib/techmap/maps/regfile_3p.vhd ../../../../../../lib/techmap/maps/tap.vhd ../../../../../../lib/techmap/maps/techbuf.vhd ../../../../../../lib/techmap/maps/nandtree.vhd ../../../../../../lib/techmap/maps/clkpad.vhd ../../../../../../lib/techmap/maps/clkpad_ds.vhd ../../../../../../lib/techmap/maps/inpad.vhd ../../../../../../lib/techmap/maps/inpad_ds.vhd ../../../../../../lib/techmap/maps/iodpad.vhd ../../../../../../lib/techmap/maps/iopad.vhd ../../../../../../lib/techmap/maps/iopad_ds.vhd ../../../../../../lib/techmap/maps/lvds_combo.vhd ../../../../../../lib/techmap/maps/odpad.vhd ../../../../../../lib/techmap/maps/outpad.vhd ../../../../../../lib/techmap/maps/outpad_ds.vhd ../../../../../../lib/techmap/maps/toutpad.vhd ../../../../../../lib/techmap/maps/skew_outpad.vhd ../../../../../../lib/techmap/maps/grspwc_net.vhd ../../../../../../lib/techmap/maps/grspwc2_net.vhd ../../../../../../lib/techmap/maps/grlfpw_net.vhd ../../../../../../lib/techmap/maps/grfpw_net.vhd ../../../../../../lib/techmap/maps/mul_61x61.vhd ../../../../../../lib/techmap/maps/cpu_disas_net.vhd ../../../../../../lib/techmap/maps/ringosc.vhd ../../../../../../lib/techmap/maps/system_monitor.vhd ../../../../../../lib/techmap/maps/grgates.vhd ../../../../../../lib/techmap/maps/inpad_ddr.vhd ../../../../../../lib/techmap/maps/outpad_ddr.vhd ../../../../../../lib/techmap/maps/iopad_ddr.vhd ../../../../../../lib/techmap/maps/syncram128bw.vhd ../../../../../../lib/techmap/maps/syncram128.vhd ../../../../../../lib/techmap/maps/syncram156bw.vhd} +{eth ../../../../../../lib/eth/comp/ethcomp.vhd ../../../../../../lib/eth/core/greth_pkg.vhd ../../../../../../lib/eth/core/eth_rstgen.vhd ../../../../../../lib/eth/core/eth_ahb_mst.vhd ../../../../../../lib/eth/core/greth_tx.vhd ../../../../../../lib/eth/core/greth_rx.vhd ../../../../../../lib/eth/core/grethc.vhd ../../../../../../lib/eth/wrapper/greth_gen.vhd ../../../../../../lib/eth/wrapper/greth_gbit_gen.vhd} +{gaisler ../../../../../../lib/gaisler/arith/arith.vhd ../../../../../../lib/gaisler/arith/mul32.vhd ../../../../../../lib/gaisler/arith/div32.vhd ../../../../../../lib/gaisler/memctrl/memctrl.vhd ../../../../../../lib/gaisler/memctrl/sdctrl.vhd ../../../../../../lib/gaisler/memctrl/sdctrl64.vhd ../../../../../../lib/gaisler/memctrl/sdmctrl.vhd ../../../../../../lib/gaisler/memctrl/srctrl.vhd ../../../../../../lib/gaisler/memctrl/spimctrl.vhd ../../../../../../lib/gaisler/leon3/leon3.vhd ../../../../../../lib/gaisler/leon3/mmuconfig.vhd ../../../../../../lib/gaisler/leon3/mmuiface.vhd ../../../../../../lib/gaisler/leon3/libmmu.vhd ../../../../../../lib/gaisler/leon3/libiu.vhd ../../../../../../lib/gaisler/leon3/libcache.vhd ../../../../../../lib/gaisler/leon3/libproc3.vhd ../../../../../../lib/gaisler/leon3/cachemem.vhd ../../../../../../lib/gaisler/leon3/mmu_icache.vhd ../../../../../../lib/gaisler/leon3/mmu_dcache.vhd ../../../../../../lib/gaisler/leon3/mmu_acache.vhd ../../../../../../lib/gaisler/leon3/mmutlbcam.vhd ../../../../../../lib/gaisler/leon3/mmulrue.vhd ../../../../../../lib/gaisler/leon3/mmulru.vhd ../../../../../../lib/gaisler/leon3/mmutlb.vhd ../../../../../../lib/gaisler/leon3/mmutw.vhd ../../../../../../lib/gaisler/leon3/mmu.vhd ../../../../../../lib/gaisler/leon3/mmu_cache.vhd ../../../../../../lib/gaisler/leon3/cpu_disasx.vhd ../../../../../../lib/gaisler/leon3/iu3.vhd ../../../../../../lib/gaisler/leon3/grfpwx.vhd ../../../../../../lib/gaisler/leon3/mfpwx.vhd ../../../../../../lib/gaisler/leon3/grlfpwx.vhd ../../../../../../lib/gaisler/leon3/tbufmem.vhd ../../../../../../lib/gaisler/leon3/dsu3x.vhd ../../../../../../lib/gaisler/leon3/dsu3.vhd ../../../../../../lib/gaisler/leon3/proc3.vhd ../../../../../../lib/gaisler/leon3/leon3s.vhd ../../../../../../lib/gaisler/leon3/leon3cg.vhd ../../../../../../lib/gaisler/leon3/irqmp.vhd ../../../../../../lib/gaisler/leon3/grfpwxsh.vhd ../../../../../../lib/gaisler/leon3/grfpushwx.vhd ../../../../../../lib/gaisler/leon3/leon3sh.vhd ../../../../../../lib/gaisler/misc/misc.vhd ../../../../../../lib/gaisler/misc/rstgen.vhd ../../../../../../lib/gaisler/misc/gptimer.vhd ../../../../../../lib/gaisler/misc/ahbram.vhd ../../../../../../lib/gaisler/misc/ahbdpram.vhd ../../../../../../lib/gaisler/misc/ahbtrace.vhd ../../../../../../lib/gaisler/misc/ahbtrace_mb.vhd ../../../../../../lib/gaisler/misc/ahbmst.vhd ../../../../../../lib/gaisler/misc/grgpio.vhd ../../../../../../lib/gaisler/misc/ahbstat.vhd ../../../../../../lib/gaisler/misc/logan.vhd ../../../../../../lib/gaisler/misc/apbps2.vhd ../../../../../../lib/gaisler/misc/charrom_package.vhd ../../../../../../lib/gaisler/misc/charrom.vhd ../../../../../../lib/gaisler/misc/apbvga.vhd ../../../../../../lib/gaisler/misc/svgactrl.vhd ../../../../../../lib/gaisler/misc/i2cmst_gen.vhd ../../../../../../lib/gaisler/misc/spictrl.vhd ../../../../../../lib/gaisler/misc/i2cslv.vhd ../../../../../../lib/gaisler/misc/wild.vhd ../../../../../../lib/gaisler/misc/wild2ahb.vhd ../../../../../../lib/gaisler/misc/grsysmon.vhd ../../../../../../lib/gaisler/misc/gracectrl.vhd ../../../../../../lib/gaisler/misc/grgpreg.vhd ../../../../../../lib/gaisler/misc/ahbmst2.vhd ../../../../../../lib/gaisler/misc/ahb_mst_iface.vhd ../../../../../../lib/gaisler/net/net.vhd ../../../../../../lib/gaisler/uart/uart.vhd ../../../../../../lib/gaisler/uart/libdcom.vhd ../../../../../../lib/gaisler/uart/apbuart.vhd ../../../../../../lib/gaisler/uart/dcom.vhd ../../../../../../lib/gaisler/uart/dcom_uart.vhd ../../../../../../lib/gaisler/uart/ahbuart.vhd ../../../../../../lib/gaisler/jtag/jtag.vhd ../../../../../../lib/gaisler/jtag/libjtagcom.vhd ../../../../../../lib/gaisler/jtag/jtagcom.vhd ../../../../../../lib/gaisler/jtag/ahbjtag.vhd ../../../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd ../../../../../../lib/gaisler/greth/ethernet_mac.vhd ../../../../../../lib/gaisler/greth/greth.vhd ../../../../../../lib/gaisler/greth/greth_gbit.vhd ../../../../../../lib/gaisler/greth/grethm.vhd ../../../../../../lib/gaisler/ddr/ddr_phy.vhd ../../../../../../lib/gaisler/ddr/ddrsp16a.vhd ../../../../../../lib/gaisler/ddr/ddrsp32a.vhd ../../../../../../lib/gaisler/ddr/ddrsp64a.vhd ../../../../../../lib/gaisler/ddr/ddrspa.vhd ../../../../../../lib/gaisler/ddr/ddr2spa.vhd ../../../../../../lib/gaisler/ddr/ddr2buf.vhd ../../../../../../lib/gaisler/ddr/ddr2spax.vhd ../../../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd ../../../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd} +{esa ../../../../../../lib/esa/memoryctrl/memoryctrl.vhd ../../../../../../lib/esa/memoryctrl/mctrl.vhd} +{lpp ../../../../../../lib/lpp/./general_purpose/Adder.vhd ../../../../../../lib/lpp/./general_purpose/ADDRcntr.vhd ../../../../../../lib/lpp/./general_purpose/ALU.vhd ../../../../../../lib/lpp/./general_purpose/Clk_divider.vhd ../../../../../../lib/lpp/./general_purpose/general_purpose.vhd ../../../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd ../../../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd ../../../../../../lib/lpp/./general_purpose/MAC_MUX.vhd ../../../../../../lib/lpp/./general_purpose/MAC_REG.vhd ../../../../../../lib/lpp/./general_purpose/MAC.vhd ../../../../../../lib/lpp/./general_purpose/Multiplier.vhd ../../../../../../lib/lpp/./general_purpose/MUX2.vhd ../../../../../../lib/lpp/./general_purpose/REG.vhd ../../../../../../lib/lpp/./general_purpose/Shifter.vhd ../../../../../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd ../../../../../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd ../../../../../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd ../../../../../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd ../../../../../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd ../../../../../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd ../../../../../../lib/lpp/./lpp_CNA_amba/clock.vhd ../../../../../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd ../../../../../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd ../../../../../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd ../../../../../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd ../../../../../../lib/lpp/./lpp_CNA_amba/Serialize.vhd ../../../../../../lib/lpp/./lpp_uart/APB_UART.vhd ../../../../../../lib/lpp/./lpp_uart/BaudGen.vhd ../../../../../../lib/lpp/./lpp_uart/lpp_uart.vhd ../../../../../../lib/lpp/./lpp_uart/Shift_REG.vhd ../../../../../../lib/lpp/./lpp_uart/UART.vhd ../../../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd ../../../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd ../../../../../../lib/lpp/./lpp_amba/lpp_amba.vhd ../../../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd ../../../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd ../../../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM.vhd ../../../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd} +{work ../../../../config.vhd ../../../../ahbrom.vhd ../../../../leon3mp.vhd} +} +set verilogList { +} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib b/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib @@ -0,0 +1,17 @@ +include $CDS_INST_DIR/tools/inca/files/cds.lib +DEFINE grlib xncsim/grlib +DEFINE unisim xncsim/unisim +DEFINE dw02 xncsim/dw02 +DEFINE synplify xncsim/synplify +DEFINE techmap xncsim/techmap +DEFINE eth xncsim/eth +DEFINE gaisler xncsim/gaisler +DEFINE esa xncsim/esa +DEFINE fmf xncsim/fmf +DEFINE spansion xncsim/spansion +DEFINE gsi xncsim/gsi +DEFINE lpp xncsim/lpp +DEFINE cypress xncsim/cypress +DEFINE hynix xncsim/hynix +DEFINE micron xncsim/micron +DEFINE work xncsim/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim @@ -0,0 +1,303 @@ + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd + acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd + acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd + acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd + alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd + acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd + acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd + acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd + acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd + acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Clk_divider.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/clock.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/APB_UART.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/BaudGen.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/lpp_uart.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/Shift_REG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/UART.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd + acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd + acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd + alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v + acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd + acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd + alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v + alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v + acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd + acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd + acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd + acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc @@ -0,0 +1,259 @@ +sh mkdir synopsys +sh mkdir synopsys/grlib +define_design_lib grlib -path synopsys/grlib +analyze -f VHDL -library grlib ../../lib/grlib/stdlib/version.vhd +analyze -f VHDL -library grlib ../../lib/grlib/stdlib/config.vhd +analyze -f VHDL -library grlib ../../lib/grlib/stdlib/stdlib.vhd +analyze -f VHDL -library grlib ../../lib/grlib/sparc/sparc.vhd +analyze -f VHDL -library grlib ../../lib/grlib/modgen/multlib.vhd +analyze -f VHDL -library grlib ../../lib/grlib/modgen/leaves.vhd +analyze -f VHDL -library grlib ../../lib/grlib/amba/amba.vhd +analyze -f VHDL -library grlib ../../lib/grlib/amba/devices.vhd +analyze -f VHDL -library grlib ../../lib/grlib/amba/defmst.vhd +analyze -f VHDL -library grlib ../../lib/grlib/amba/apbctrl.vhd +analyze -f VHDL -library grlib ../../lib/grlib/amba/ahbctrl.vhd +analyze -f VHDL -library grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd +analyze -f VHDL -library grlib ../../lib/grlib/amba/dma2ahb.vhd +sh mkdir synopsys/unisim +define_design_lib unisim -path synopsys/unisim +sh mkdir synopsys/synplify +define_design_lib synplify -path synopsys/synplify +sh mkdir synopsys/techmap +define_design_lib techmap -path synopsys/techmap +analyze -f VHDL -library techmap ../../lib/techmap/gencomp/gencomp.vhd +analyze -f VHDL -library techmap ../../lib/techmap/gencomp/netcomp.vhd +analyze -f VHDL -library techmap ../../lib/techmap/inferred/memory_inferred.vhd +analyze -f VHDL -library techmap ../../lib/techmap/inferred/ddr_inferred.vhd +analyze -f VHDL -library techmap ../../lib/techmap/inferred/mul_inferred.vhd +analyze -f VHDL -library techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd +analyze -f VHDL -library techmap ../../lib/techmap/dw02/mul_dw_gen.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/allclkgen.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/allddr.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/allmem.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/allpads.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/alltap.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/clkgen.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/clkmux.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/clkand.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/ddr_ireg.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/ddr_oreg.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/ddrphy.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram64.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram_2p.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram_dp.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncfifo.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/regfile_3p.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/tap.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/techbuf.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/nandtree.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/clkpad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/clkpad_ds.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad_ds.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/iodpad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad_ds.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/lvds_combo.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/odpad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad_ds.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/toutpad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/skew_outpad.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/grspwc_net.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/grspwc2_net.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/grlfpw_net.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/grfpw_net.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/mul_61x61.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/cpu_disas_net.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/ringosc.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/system_monitor.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/grgates.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad_ddr.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad_ddr.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad_ddr.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram128bw.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram128.vhd +analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram156bw.vhd +sh mkdir synopsys/eth +define_design_lib eth -path synopsys/eth +analyze -f VHDL -library eth ../../lib/eth/comp/ethcomp.vhd +analyze -f VHDL -library eth ../../lib/eth/core/greth_pkg.vhd +analyze -f VHDL -library eth ../../lib/eth/core/eth_rstgen.vhd +analyze -f VHDL -library eth ../../lib/eth/core/eth_ahb_mst.vhd +analyze -f VHDL -library eth ../../lib/eth/core/greth_tx.vhd +analyze -f VHDL -library eth ../../lib/eth/core/greth_rx.vhd +analyze -f VHDL -library eth ../../lib/eth/core/grethc.vhd +analyze -f VHDL -library eth ../../lib/eth/wrapper/greth_gen.vhd +analyze -f VHDL -library eth ../../lib/eth/wrapper/greth_gbit_gen.vhd +sh mkdir synopsys/gaisler +define_design_lib gaisler -path synopsys/gaisler +analyze -f VHDL -library gaisler ../../lib/gaisler/arith/arith.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/arith/mul32.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/arith/div32.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/memctrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdctrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/srctrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/spimctrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmuconfig.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmuiface.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libmmu.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libiu.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libcache.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libproc3.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/cachemem.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_icache.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_acache.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmulrue.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmulru.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutlb.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutw.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_cache.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/iu3.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpwx.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mfpwx.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grlfpwx.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/tbufmem.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/dsu3x.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/dsu3.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/proc3.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3s.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3cg.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/irqmp.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpushwx.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3sh.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/misc.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/rstgen.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/gptimer.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbram.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbdpram.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbtrace.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbmst.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grgpio.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbstat.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/logan.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/apbps2.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/charrom_package.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/charrom.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/apbvga.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/svgactrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/spictrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/i2cslv.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/wild.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/wild2ahb.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grsysmon.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/gracectrl.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grgpreg.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbmst2.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/net/net.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/uart/uart.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/uart/libdcom.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/uart/apbuart.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/uart/dcom.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/uart/dcom_uart.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/uart/ahbuart.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/jtag.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/libjtagcom.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/jtagcom.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/ahbjtag.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/greth/ethernet_mac.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/greth/greth.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/greth/greth_gbit.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/greth/grethm.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr_phy.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrspa.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spa.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2buf.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd +analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd +sh mkdir synopsys/esa +define_design_lib esa -path synopsys/esa +analyze -f VHDL -library esa ../../lib/esa/memoryctrl/memoryctrl.vhd +analyze -f VHDL -library esa ../../lib/esa/memoryctrl/mctrl.vhd +sh mkdir synopsys/fmf +define_design_lib fmf -path synopsys/fmf +sh mkdir synopsys/spansion +define_design_lib spansion -path synopsys/spansion +sh mkdir synopsys/gsi +define_design_lib gsi -path synopsys/gsi +sh mkdir synopsys/lpp +define_design_lib lpp -path synopsys/lpp +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Adder.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/ALU.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/general_purpose.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Multiplier.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MUX2.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/REG.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Shifter.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_uart/UART.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd +analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd +sh mkdir synopsys/cypress +define_design_lib cypress -path synopsys/cypress +sh mkdir synopsys/hynix +define_design_lib hynix -path synopsys/hynix +sh mkdir synopsys/micron +define_design_lib micron -path synopsys/micron +sh mkdir synopsys/work +define_design_lib work -path synopsys/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl @@ -0,0 +1,316 @@ + mkdir gnu + mkdir gnu/grlib + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/version.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/config.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdlib.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdio.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/testlib.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/util/util.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc_disas.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/cpu_disas.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/multlib.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/leaves.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/devices.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/defmst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/apbctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/ahbctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba_tp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_util.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahbs.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + mkdir gnu/unisim + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + mkdir gnu/dw02 + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/dw02 --work=dw02 -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + mkdir gnu/synplify + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synplify.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synattr.vhd + mkdir gnu/techmap + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/gencomp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/netcomp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/memory_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/mul_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/memory_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/buffer_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/pads_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/tap_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/mul_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allclkgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allmem.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allpads.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/alltap.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkmux.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkand.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_ireg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_oreg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddrphy.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram64.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_2p.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_dp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncfifo.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/regfile_3p.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/tap.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/techbuf.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/nandtree.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iodpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/lvds_combo.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/odpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/toutpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/skew_outpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc2_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grlfpw_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grfpw_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/mul_61x61.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/cpu_disas_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ringosc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/system_monitor.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grgates.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128bw.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram156bw.vhd + mkdir gnu/eth + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/comp/ethcomp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_rstgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_ahb_mst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_tx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_rx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/grethc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + mkdir gnu/gaisler + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/arith.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/mul32.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/div32.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/memctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/srctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuiface.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libmmu.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libiu.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libcache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libproc3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cachemem.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulrue.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulru.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutw.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/iu3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mfpwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/tbufmem.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3x.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/proc3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3s.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3cg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/irqmp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3sh.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/misc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/rstgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gptimer.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbdpram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpio.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbstat.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/logan.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbps2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom_package.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbvga.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/svgactrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/spictrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cslv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild2ahb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grsysmon.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gracectrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpreg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/net/net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/uart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/libdcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/apbuart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom_uart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/ahbuart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ata_device.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram16.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/phy.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ahbrep.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/delay_wire.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/spi_flash.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/pwm_check.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/usbsim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtag.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagtst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth_gbit.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/grethm.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrspa.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + mkdir gnu/esa + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/memoryctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/mctrl.vhd + mkdir gnu/fmf + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/conversions.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/gen_utils.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/flash.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/s25fl064a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/m25p80.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/fifo/idt7202.vhd + mkdir gnu/spansion + mkdir gnu/gsi + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/functions.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/core_burst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/g880e18bt.vhd + mkdir gnu/lpp + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Adder.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ALU.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MUX2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/REG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Shifter.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/UART.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + mkdir gnu/cypress + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/components.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/package_utility.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1354b.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1380d.vhd + mkdir gnu/hynix + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/components.vhd + mkdir gnu/micron + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/components.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/ddr/mt46v16m16.vhd + mkdir gnu/work + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/debug.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/grtestmod.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim @@ -0,0 +1,320 @@ + mkdir xncsim + mkdir xncsim/grlib + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/version.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/config.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdlib.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdio.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/testlib.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/util/util.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc_disas.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/cpu_disas.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/multlib.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/leaves.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/devices.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/defmst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/apbctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/ahbctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba_tp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_util.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + mkdir xncsim/unisim + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + mkdir xncsim/dw02 + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + mkdir xncsim/synplify + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synplify.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synattr.vhd + mkdir xncsim/techmap + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/gencomp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/netcomp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/memory_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/mul_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/memory_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/pads_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/tap_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/mul_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allclkgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allmem.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allpads.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/alltap.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkmux.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkand.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_ireg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_oreg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddrphy.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram64.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_2p.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_dp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncfifo.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/regfile_3p.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/tap.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/techbuf.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/nandtree.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iodpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/lvds_combo.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/odpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/toutpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/skew_outpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc2_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grlfpw_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grfpw_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/mul_61x61.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ringosc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/system_monitor.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grgates.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128bw.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram156bw.vhd + mkdir xncsim/eth + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/comp/ethcomp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_rstgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_ahb_mst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_tx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_rx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/grethc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + mkdir xncsim/gaisler + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/arith.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/mul32.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/div32.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libmmu.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libiu.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libcache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libproc3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cachemem.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulru.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutw.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/iu3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/proc3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3s.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/irqmp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/misc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/rstgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gptimer.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpio.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbstat.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/logan.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbps2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom_package.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbvga.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/svgactrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/spictrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cslv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grsysmon.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gracectrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpreg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/net/net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/uart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/libdcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/apbuart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/ahbuart.vhd + ncvlog -nowarn DLCPTH -nocopyright -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ata_device.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram16.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/phy.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ahbrep.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/delay_wire.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/spi_flash.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/pwm_check.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/usbsim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtag.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/grethm.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + mkdir xncsim/esa + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/mctrl.vhd + mkdir xncsim/fmf + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/conversions.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/gen_utils.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/flash.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/s25fl064a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/m25p80.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/fifo/idt7202.vhd + mkdir xncsim/spansion + mkdir xncsim/gsi + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/functions.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/core_burst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/g880e18bt.vhd + mkdir xncsim/lpp + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Adder.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ALU.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/REG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/UART.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + mkdir xncsim/cypress + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/components.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/package_utility.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd + mkdir xncsim/hynix + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/components.vhd + mkdir xncsim/micron + ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/sdram/mobile_sdr.v + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/components.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/ddr2.v + ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/mobile_ddr.v + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/ddr/mt46v16m16.vhd + mkdir xncsim/work + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/debug.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/grtestmod.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc @@ -0,0 +1,229 @@ +set_attribute input_pragma_keyword "cadence synopsys get2chip g2c fast ambit pragma" +read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/version.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/config.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/stdlib.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/sparc/sparc.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/modgen/multlib.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/modgen/leaves.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/amba/amba.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/amba/devices.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/amba/defmst.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/amba/apbctrl.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/amba/ahbctrl.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd +read_hdl -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/gencomp/gencomp.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/gencomp/netcomp.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/memory_inferred.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/ddr_inferred.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/mul_inferred.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/dw02/mul_dw_gen.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allclkgen.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allddr.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allmem.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allpads.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/alltap.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkgen.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkmux.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkand.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddr_ireg.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddr_oreg.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddrphy.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram64.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram_2p.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram_dp.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncfifo.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/regfile_3p.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/tap.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/techbuf.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/nandtree.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkpad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkpad_ds.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad_ds.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iodpad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad_ds.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/lvds_combo.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/odpad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad_ds.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/toutpad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/skew_outpad.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grspwc_net.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grspwc2_net.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grlfpw_net.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grfpw_net.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/mul_61x61.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/cpu_disas_net.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ringosc.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/system_monitor.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grgates.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad_ddr.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad_ddr.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad_ddr.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram128bw.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram128.vhd +read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram156bw.vhd +read_hdl -vhdl -lib eth ../../lib/eth/comp/ethcomp.vhd +read_hdl -vhdl -lib eth ../../lib/eth/core/greth_pkg.vhd +read_hdl -vhdl -lib eth ../../lib/eth/core/eth_rstgen.vhd +read_hdl -vhdl -lib eth ../../lib/eth/core/eth_ahb_mst.vhd +read_hdl -vhdl -lib eth ../../lib/eth/core/greth_tx.vhd +read_hdl -vhdl -lib eth ../../lib/eth/core/greth_rx.vhd +read_hdl -vhdl -lib eth ../../lib/eth/core/grethc.vhd +read_hdl -vhdl -lib eth ../../lib/eth/wrapper/greth_gen.vhd +read_hdl -vhdl -lib eth ../../lib/eth/wrapper/greth_gbit_gen.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/arith.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/mul32.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/div32.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/memctrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/srctrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/spimctrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuconfig.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuiface.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libmmu.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libiu.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libcache.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libproc3.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/cachemem.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_icache.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_acache.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulrue.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulru.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlb.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutw.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_cache.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/iu3.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwx.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mfpwx.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grlfpwx.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/tbufmem.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3x.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/proc3.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3s.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3cg.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/irqmp.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpushwx.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3sh.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/misc.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/rstgen.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/gptimer.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbram.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbdpram.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grgpio.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbstat.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/logan.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/apbps2.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/charrom_package.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/charrom.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/apbvga.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/svgactrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/spictrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/i2cslv.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/wild.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/wild2ahb.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grsysmon.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/gracectrl.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grgpreg.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst2.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/net/net.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/uart.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/libdcom.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/apbuart.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/dcom.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/dcom_uart.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/ahbuart.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/jtag.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/libjtagcom.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/jtagcom.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/ethernet_mac.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/greth.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/greth_gbit.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/grethm.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr_phy.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrspa.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spa.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2buf.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd +read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd +read_hdl -vhdl -lib esa ../../lib/esa/memoryctrl/memoryctrl.vhd +read_hdl -vhdl -lib esa ../../lib/esa/memoryctrl/mctrl.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Adder.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/ALU.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/general_purpose.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Multiplier.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MUX2.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/REG.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Shifter.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_uart/UART.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd +read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son @@ -0,0 +1,303 @@ + vhdlp -s -work grlib ../../lib/grlib/stdlib/version.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/config.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/stdlib.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/stdio.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/testlib.vhd + vhdlp -s -work grlib ../../lib/grlib/util/util.vhd + vhdlp -s -work grlib ../../lib/grlib/sparc/sparc.vhd + vhdlp -s -work grlib ../../lib/grlib/sparc/sparc_disas.vhd + vhdlp -s -work grlib ../../lib/grlib/sparc/cpu_disas.vhd + vhdlp -s -work grlib ../../lib/grlib/modgen/multlib.vhd + vhdlp -s -work grlib ../../lib/grlib/modgen/leaves.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/amba.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/devices.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/defmst.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/apbctrl.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/ahbctrl.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/amba_tp.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_util.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + vhdlp -s -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + vhdlp -s -work synplify ../../lib/synplify/sim/synplify.vhd + vhdlp -s -work synplify ../../lib/synplify/sim/synattr.vhd + vhdlp -s -work techmap ../../lib/techmap/gencomp/gencomp.vhd + vhdlp -s -work techmap ../../lib/techmap/gencomp/netcomp.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/memory_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/mul_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/memory_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/pads_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/tap_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/mul_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allclkgen.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allmem.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allpads.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/alltap.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkgen.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkmux.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkand.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ddr_ireg.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ddr_oreg.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ddrphy.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram64.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram_2p.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram_dp.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncfifo.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/regfile_3p.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/tap.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/techbuf.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/nandtree.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkpad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/inpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iodpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iopad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/lvds_combo.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/odpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/outpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/toutpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/skew_outpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grspwc_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grspwc2_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grlfpw_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grfpw_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/mul_61x61.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ringosc.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/system_monitor.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grgates.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram128bw.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram128.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram156bw.vhd + vhdlp -s -work eth ../../lib/eth/comp/ethcomp.vhd + vhdlp -s -work eth ../../lib/eth/core/greth_pkg.vhd + vhdlp -s -work eth ../../lib/eth/core/eth_rstgen.vhd + vhdlp -s -work eth ../../lib/eth/core/eth_ahb_mst.vhd + vhdlp -s -work eth ../../lib/eth/core/greth_tx.vhd + vhdlp -s -work eth ../../lib/eth/core/greth_rx.vhd + vhdlp -s -work eth ../../lib/eth/core/grethc.vhd + vhdlp -s -work eth ../../lib/eth/wrapper/greth_gen.vhd + vhdlp -s -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + vhdlp -s -work gaisler ../../lib/gaisler/arith/arith.vhd + vhdlp -s -work gaisler ../../lib/gaisler/arith/mul32.vhd + vhdlp -s -work gaisler ../../lib/gaisler/arith/div32.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libmmu.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libiu.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libcache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libproc3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/cachemem.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulru.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutw.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/iu3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/proc3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3s.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/irqmp.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/misc.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/rstgen.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/gptimer.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbram.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpio.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbstat.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/logan.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/apbps2.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom_package.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/apbvga.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/svgactrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/spictrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cslv.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/wild.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/grsysmon.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/gracectrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpreg.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + vhdlp -s -work gaisler ../../lib/gaisler/net/net.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/uart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/libdcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/apbuart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/ahbuart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/sim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/sram.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/ata_device.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/sram16.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/phy.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/ahbrep.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/delay_wire.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/spi_flash.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/pwm_check.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/usbsim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtag.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/greth.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/grethm.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + vhdlp -s -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd + vhdlp -s -work esa ../../lib/esa/memoryctrl/mctrl.vhd + vhdlp -s -work fmf ../../lib/fmf/utilities/conversions.vhd + vhdlp -s -work fmf ../../lib/fmf/utilities/gen_utils.vhd + vhdlp -s -work fmf ../../lib/fmf/flash/flash.vhd + vhdlp -s -work fmf ../../lib/fmf/flash/s25fl064a.vhd + vhdlp -s -work fmf ../../lib/fmf/flash/m25p80.vhd + vhdlp -s -work fmf ../../lib/fmf/fifo/idt7202.vhd + vhdlp -s -work gsi ../../lib/gsi/ssram/functions.vhd + vhdlp -s -work gsi ../../lib/gsi/ssram/core_burst.vhd + vhdlp -s -work gsi ../../lib/gsi/ssram/g880e18bt.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Adder.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ALU.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/REG.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/UART.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/components.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/package_utility.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd + vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + vhdlp -s -work hynix ../../lib/hynix/ddr2/components.vhd + vhdlp -s -work micron ../../lib/micron/sdram/components.vhd + vhdlp -s -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + vhdlp -s -work micron ../../lib/micron/ddr/mt46v16m16.vhd + vhdlp -s -work sonata ../../lib/work/debug/debug.vhd + vhdlp -s -work sonata ../../lib/work/debug/grtestmod.vhd + vhdlp -s -work sonata ../../lib/work/debug/cpu_disas.vhd + vhdlp -s -work sonata config.vhd + vhdlp -s -work sonata ahbrom.vhd + vhdlp -s -work sonata leon3mp.vhd + vhdlp -s -work sonata testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp @@ -0,0 +1,240 @@ +add_file -vhdl -lib grlib ../../lib/grlib/stdlib/version.vhd +add_file -vhdl -lib grlib ../../lib/grlib/stdlib/config.vhd +add_file -vhdl -lib grlib ../../lib/grlib/stdlib/stdlib.vhd +add_file -vhdl -lib grlib ../../lib/grlib/sparc/sparc.vhd +add_file -vhdl -lib grlib ../../lib/grlib/modgen/multlib.vhd +add_file -vhdl -lib grlib ../../lib/grlib/modgen/leaves.vhd +add_file -vhdl -lib grlib ../../lib/grlib/amba/amba.vhd +add_file -vhdl -lib grlib ../../lib/grlib/amba/devices.vhd +add_file -vhdl -lib grlib ../../lib/grlib/amba/defmst.vhd +add_file -vhdl -lib grlib ../../lib/grlib/amba/apbctrl.vhd +add_file -vhdl -lib grlib ../../lib/grlib/amba/ahbctrl.vhd +add_file -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd +add_file -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb.vhd +add_file -vhdl -lib techmap ../../lib/techmap/gencomp/gencomp.vhd +add_file -vhdl -lib techmap ../../lib/techmap/gencomp/netcomp.vhd +add_file -vhdl -lib techmap ../../lib/techmap/inferred/memory_inferred.vhd +add_file -vhdl -lib techmap ../../lib/techmap/inferred/ddr_inferred.vhd +add_file -vhdl -lib techmap ../../lib/techmap/inferred/mul_inferred.vhd +add_file -vhdl -lib techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/memory_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/buffer_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/pads_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/clkgen_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/tap_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/ddr_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/grspwc_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/sysmon_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/unisim/mul_unisim.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/allclkgen.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/allddr.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/allmem.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/allpads.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/alltap.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/clkgen.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/clkmux.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/clkand.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/ddr_ireg.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/ddr_oreg.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/ddrphy.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram64.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram_2p.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram_dp.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncfifo.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/regfile_3p.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/tap.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/techbuf.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/nandtree.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/clkpad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/clkpad_ds.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad_ds.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/iodpad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad_ds.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/lvds_combo.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/odpad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad_ds.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/toutpad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/skew_outpad.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/grspwc_net.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/grspwc2_net.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/grlfpw_net.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/grfpw_net.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/mul_61x61.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/cpu_disas_net.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/ringosc.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/system_monitor.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/grgates.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad_ddr.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad_ddr.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad_ddr.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram128bw.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram128.vhd +add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram156bw.vhd +add_file -vhdl -lib eth ../../lib/eth/comp/ethcomp.vhd +add_file -vhdl -lib eth ../../lib/eth/core/greth_pkg.vhd +add_file -vhdl -lib eth ../../lib/eth/core/eth_rstgen.vhd +add_file -vhdl -lib eth ../../lib/eth/core/eth_ahb_mst.vhd +add_file -vhdl -lib eth ../../lib/eth/core/greth_tx.vhd +add_file -vhdl -lib eth ../../lib/eth/core/greth_rx.vhd +add_file -vhdl -lib eth ../../lib/eth/core/grethc.vhd +add_file -vhdl -lib eth ../../lib/eth/wrapper/greth_gen.vhd +add_file -vhdl -lib eth ../../lib/eth/wrapper/greth_gbit_gen.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/arith/arith.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/arith/mul32.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/arith/div32.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/memctrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/srctrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/spimctrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuconfig.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuiface.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libmmu.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libiu.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libcache.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libproc3.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/cachemem.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_icache.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_acache.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulrue.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulru.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlb.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutw.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_cache.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/iu3.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwx.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mfpwx.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grlfpwx.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/tbufmem.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3x.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/proc3.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3s.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3cg.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/irqmp.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpushwx.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3sh.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/misc.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/rstgen.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/gptimer.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbram.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbdpram.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grgpio.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbstat.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/logan.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/apbps2.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/charrom_package.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/charrom.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/apbvga.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/svgactrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/spictrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/i2cslv.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/wild.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/wild2ahb.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grsysmon.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/gracectrl.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grgpreg.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst2.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/net/net.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/uart/uart.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/uart/libdcom.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/uart/apbuart.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/uart/dcom.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/uart/dcom_uart.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/uart/ahbuart.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/jtag.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/libjtagcom.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/jtagcom.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/greth/ethernet_mac.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/greth/greth.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/greth/greth_gbit.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/greth/grethm.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr_phy.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrspa.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spa.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2buf.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd +add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd +add_file -vhdl -lib esa ../../lib/esa/memoryctrl/memoryctrl.vhd +add_file -vhdl -lib esa ../../lib/esa/memoryctrl/mctrl.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Adder.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/ALU.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/general_purpose.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Multiplier.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MUX2.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/REG.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Shifter.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_uart/UART.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd +add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim @@ -0,0 +1,303 @@ + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/version.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/config.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdlib.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdio.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/testlib.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/util/util.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc_disas.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/sparc/cpu_disas.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/modgen/multlib.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/modgen/leaves.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/devices.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/defmst.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/apbctrl.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/ahbctrl.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba_tp.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_util.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + vcom -quiet -93 -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + vcom -quiet -93 -work synplify ../../lib/synplify/sim/synplify.vhd + vcom -quiet -93 -work synplify ../../lib/synplify/sim/synattr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/gencomp.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/netcomp.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/memory_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/mul_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/memory_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/pads_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/tap_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/mul_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allclkgen.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allmem.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allpads.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/alltap.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkgen.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkmux.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkand.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_ireg.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_oreg.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddrphy.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram64.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_2p.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_dp.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncfifo.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/regfile_3p.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/tap.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/techbuf.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/nandtree.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iodpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/lvds_combo.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/odpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/toutpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/skew_outpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc2_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grlfpw_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grfpw_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/mul_61x61.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ringosc.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/system_monitor.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grgates.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128bw.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram156bw.vhd + vcom -quiet -93 -work eth ../../lib/eth/comp/ethcomp.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/greth_pkg.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/eth_rstgen.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/eth_ahb_mst.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/greth_tx.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/greth_rx.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/grethc.vhd + vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gen.vhd + vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/arith.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/mul32.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/div32.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libmmu.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libiu.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libcache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libproc3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cachemem.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulru.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutw.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/iu3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/proc3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3s.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/irqmp.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/misc.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/rstgen.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gptimer.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbram.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpio.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbstat.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/logan.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbps2.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom_package.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbvga.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/svgactrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/spictrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cslv.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grsysmon.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gracectrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpreg.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/net/net.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/uart.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/libdcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/apbuart.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/ahbuart.vhd + vlog -quiet -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ata_device.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram16.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/phy.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ahbrep.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/delay_wire.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/spi_flash.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/pwm_check.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/usbsim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtag.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/grethm.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd + vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/mctrl.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/utilities/conversions.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/utilities/gen_utils.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/flash/flash.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/flash/s25fl064a.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/flash/m25p80.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/fifo/idt7202.vhd + vcom -quiet -93 -work gsi ../../lib/gsi/ssram/functions.vhd + vcom -quiet -93 -work gsi ../../lib/gsi/ssram/core_burst.vhd + vcom -quiet -93 -work gsi ../../lib/gsi/ssram/g880e18bt.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Adder.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ALU.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/REG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/UART.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/components.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/package_utility.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd + vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/components.vhd + vlog -quiet -work micron ../../lib/micron/sdram/mobile_sdr.v + vcom -quiet -93 -work micron ../../lib/micron/sdram/components.vhd + vcom -quiet -93 -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + vlog -quiet -work micron ../../lib/micron/ddr/ddr2.v + vlog -quiet -work micron ../../lib/micron/ddr/mobile_ddr.v + vcom -quiet -93 -work micron ../../lib/micron/ddr/mt46v16m16.vhd + vcom -quiet -93 -work work ../../lib/work/debug/debug.vhd + vcom -quiet -93 -work work ../../lib/work/debug/grtestmod.vhd + vcom -quiet -93 -work work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst @@ -0,0 +1,240 @@ +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/version.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/config.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/stdlib.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/sparc/sparc.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/modgen/multlib.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/modgen/leaves.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/amba.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/devices.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/defmst.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/apbctrl.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/ahbctrl.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/dma2ahb_pkg.vhd +elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/dma2ahb.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/gencomp/gencomp.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/gencomp/netcomp.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/memory_inferred.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/ddr_inferred.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/mul_inferred.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/ddr_phy_inferred.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/memory_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/buffer_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/pads_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/clkgen_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/tap_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ddr_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ddr_phy_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grspwc_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grspwc2_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grusbhc_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ssrctrl_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/sysmon_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/mul_unisim.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allclkgen.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allddr.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allmem.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allpads.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/alltap.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkgen.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkmux.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkand.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddr_ireg.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddr_oreg.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddrphy.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram64.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram_2p.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram_dp.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncfifo.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/regfile_3p.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/tap.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/techbuf.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/nandtree.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkpad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkpad_ds.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad_ds.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iodpad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad_ds.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/lvds_combo.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/odpad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad_ds.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/toutpad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/skew_outpad.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grspwc_net.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grspwc2_net.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grlfpw_net.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grfpw_net.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/mul_61x61.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/cpu_disas_net.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ringosc.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/system_monitor.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grgates.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad_ddr.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad_ddr.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad_ddr.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram128bw.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram128.vhd +elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram156bw.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/comp/ethcomp.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_pkg.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/eth_rstgen.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/eth_ahb_mst.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_tx.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_rx.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/grethc.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/wrapper/greth_gen.vhd +elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/wrapper/greth_gbit_gen.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/arith.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/mul32.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/div32.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/memctrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdctrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdctrl64.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdmctrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/srctrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/spimctrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmuconfig.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmuiface.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libmmu.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libiu.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libcache.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libproc3.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/cachemem.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_icache.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_dcache.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_acache.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutlbcam.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmulrue.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmulru.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutlb.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutw.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_cache.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/cpu_disasx.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/iu3.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpwx.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mfpwx.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grlfpwx.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/tbufmem.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/dsu3x.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/dsu3.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/proc3.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3s.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3cg.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/irqmp.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpwxsh.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpushwx.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3sh.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/misc.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/rstgen.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/gptimer.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbram.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbdpram.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbtrace.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbtrace_mb.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbmst.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grgpio.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbstat.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/logan.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/apbps2.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/charrom_package.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/charrom.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/apbvga.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/svgactrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/i2cmst_gen.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/spictrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/i2cslv.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/wild.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/wild2ahb.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grsysmon.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/gracectrl.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grgpreg.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbmst2.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahb_mst_iface.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/net/net.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/uart.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/libdcom.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/apbuart.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/dcom.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/dcom_uart.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/ahbuart.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/jtag.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/libjtagcom.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/jtagcom.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/ahbjtag.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/ahbjtag_bsd.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/ethernet_mac.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/greth.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/greth_gbit.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/grethm.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr_phy.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp16a.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp32a.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp64a.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrspa.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spa.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2buf.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax_ahb.vhd +elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax_ddr.vhd +elaborate -ifmt vhdl -work_lib esa -ifn ../../lib/esa/memoryctrl/memoryctrl.vhd +elaborate -ifmt vhdl -work_lib esa -ifn ../../lib/esa/memoryctrl/mctrl.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Adder.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/ADDRcntr.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/ALU.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Clk_divider.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/general_purpose.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_MUX2.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_MUX.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_REG.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Multiplier.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MUX2.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/REG.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Shifter.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_CNA_amba/clock.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_uart/APB_UART.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_uart/BaudGen.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_uart/lpp_uart.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_uart/Shift_REG.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_uart/UART.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/lpp_amba.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTER.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd +elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path b/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path @@ -0,0 +1,1 @@ +-Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/hdl.var b/designs/leon3-APB_LCD-digilent-xc3s1600e/hdl.var new file mode 100644 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr @@ -8,7 +8,65 @@ 2 /Unassigned User Library Modules + /Unassigned User Library Modules/ADD32 - A + /Unassigned User Library Modules/AMBA_LCD_16x2_DRIVER - Behavioral + /Unassigned User Library Modules/APB_CNA - ar_APB_CNA + /Unassigned User Library Modules/APB_IIR_CEL - AR_APB_IIR_CEL/filter - IIR_CEL_FILTER - ar_IIR_CEL_FILTER/CTRLR - IIR_CEL_CTRLR - ar_IIR_CEL_CTRLR + /Unassigned User Library Modules/APB_UART - ar_APB_UART + /Unassigned User Library Modules/FILTER - ar_FILTER + /Unassigned User Library Modules/FILTER_RAM_CTRLR - ar_FILTER_RAM_CTRLR + /Unassigned User Library Modules/LCD_2x16_DRIVER - Behavioral + /Unassigned User Library Modules/Wild2AHB - RTL + /Unassigned User Library Modules/ahbdpram - rtl + /Unassigned User Library Modules/ahbjtag_bsd - struct + /Unassigned User Library Modules/ahbtrace - rtl + /Unassigned User Library Modules/apbvga - rtl + /Unassigned User Library Modules/clkmux - rtl + /Unassigned User Library Modules/clkpad_ds - rtl + /Unassigned User Library Modules/ddr2spa - rtl + /Unassigned User Library Modules/greth_gbit_gen - rtl + /Unassigned User Library Modules/greth_gen - rtl + /Unassigned User Library Modules/grfpushwx - rtl + /Unassigned User Library Modules/grspwc2_net - rtl + /Unassigned User Library Modules/grspwc_net - rtl + /Unassigned User Library Modules/grsysmon - rtl + /Unassigned User Library Modules/grusbhc_unisim - rtl + /Unassigned User Library Modules/i2cmst_gen - rtl + /Unassigned User Library Modules/inpad_ddrv - rtl + /Unassigned User Library Modules/inpad_dsv - rtl + /Unassigned User Library Modules/iodpadv - rtl + /Unassigned User Library Modules/iopad_ddrv - rtl + /Unassigned User Library Modules/iopad_ddrvv - rtl + /Unassigned User Library Modules/iopad_dsv - rtl + /Unassigned User Library Modules/iopad_dsvv - rtl + /Unassigned User Library Modules/iopadv - rtl + /Unassigned User Library Modules/iopadvv - rtl + /Unassigned User Library Modules/leon3cg - rtl + /Unassigned User Library Modules/leon3sh - rtl + /Unassigned User Library Modules/logan - rtl + /Unassigned User Library Modules/lvds_combo - rtl + /Unassigned User Library Modules/mul_61x61 - rtl + /Unassigned User Library Modules/nandtree - rtl + /Unassigned User Library Modules/odpadv - rtl + /Unassigned User Library Modules/outpad_ddrv - rtl + /Unassigned User Library Modules/outpad_dsv - rtl + /Unassigned User Library Modules/ringosc - rtl + /Unassigned User Library Modules/skew_outpad - rtl + /Unassigned User Library Modules/spartan6_ddr2_phy - rtl + /Unassigned User Library Modules/ssrctrl_unisim - beh + /Unassigned User Library Modules/syncfifo - rtl + /Unassigned User Library Modules/syncram128 - rtl + /Unassigned User Library Modules/syncram128bw - rtl + /Unassigned User Library Modules/syncram156bw - rtl + /Unassigned User Library Modules/toutpadv - rtl + /Unassigned User Library Modules/toutpadvv - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ADC0 - lpp_apb_ad_conv - ar_lpp_apb_ad_conv + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ADC0 - lpp_apb_ad_conv - ar_lpp_apb_ad_conv/AD7688 - AD7688_drvr - ar_AD7688_drvr + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ADC0 - lpp_apb_ad_conv - ar_lpp_apb_ad_conv/ADS7886 - ADS7886_drvr - ar_ADS7886_drvr + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/FILTER0 - APB_IIR_CEL - AR_APB_IIR_CEL + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/FILTER0 - APB_IIR_CEL - AR_APB_IIR_CEL/filter - IIR_CEL_FILTER - ar_IIR_CEL_FILTER/CTRLR - IIR_CEL_CTRLR - ar_IIR_CEL_CTRLR/ALU_inst - ALU - ar_ALU /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/LCD0 - apb_lcd_ctrlr - Behavioral + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/LCD0 - apb_lcd_ctrlr - Behavioral/Driver0 - LCD_16x2_ENGINE - ar_LCD_16x2_ENGINE /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/addr_pad - outpadv - rtl /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ahbjtag0 - ahbjtag - struct /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ahbram0 - ahbram - rtl @@ -93,13 +151,13 @@ /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/wri_pad - outpad - rtl - clkdivider - Clk_divider - ar_Clk_divider (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd) + leon3mp - rtl (/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd) - 0 + 39 0 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002be000000020000000000000000000000000000000064ffffffff000000810000000000000002000002be0000000100000000000000000000000100000000 false - clkdivider - Clk_divider - ar_Clk_divider (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd) + leon3mp - rtl (/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd) @@ -114,26 +172,26 @@ User Constraints - + Configure Target Device 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000000000000000000163000000010000000100000000000000000000000064ffffffff000000810000000000000001000001630000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000133000000010000000100000000000000000000000064ffffffff000000810000000000000001000001330000000100000000 false - + Configure Target Device 1 - ../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd + config.vhd - 178 + 270 0 000000ff00000000000000010000000000000000010000000000000000000000000000000000000598000000040101000100000000000000000000000064ffffffff000000810000000000000004000001970000000100000000000000d60000000100000000000000840000000100000000000002a70000000100000000 false - ../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd + config.vhd @@ -144,23 +202,25 @@ eth fmf gaisler + grlib gsi hynix micron synplify techmap unisim + work - ../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd + ../../lib/lpp/lpp_uart/APB_UART.vhd - 66 + 36 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000119000000010001000100000000000000000000000064ffffffff000000810000000000000001000001190000000100000000 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000001a2000000010001000100000000000000000000000064ffffffff000000810000000000000001000001a20000000100000000 false - ../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd + ../../lib/lpp/lpp_uart/APB_UART.vhd - 000000ff0000000000000002000001f4000000b001000000060100000002 + 000000ff000000000000000200000142000000ab01000000060100000002 Implementation @@ -186,8 +246,150 @@ 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fd000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fd0000000100000000 + 000000ff0000000000000001000000010000000000000000000000000000000000000000000000011a000000010000000100000000000000000000000064ffffffff0000008100000000000000010000011a0000000100000000 false + + + 2 + /Unassigned User Library Modules/ADD32 - A + /Unassigned User Library Modules/AMBA_LCD_16x2_DRIVER - Behavioral + /Unassigned User Library Modules/AMBA_TestPackage + /Unassigned User Library Modules/APB_CNA - ar_APB_CNA + /Unassigned User Library Modules/APB_IIR_CEL - AR_APB_IIR_CEL/filter - IIR_CEL_FILTER - ar_IIR_CEL_FILTER/CTRLR - IIR_CEL_CTRLR - ar_IIR_CEL_CTRLR + /Unassigned User Library Modules/APB_UART - ar_APB_UART + /Unassigned User Library Modules/DCM - sim + /Unassigned User Library Modules/DMA2AHB_TestPackage + /Unassigned User Library Modules/FILTER - ar_FILTER + /Unassigned User Library Modules/FILTER_RAM_CTRLR - ar_FILTER_RAM_CTRLR + /Unassigned User Library Modules/FUNCTIONS + /Unassigned User Library Modules/G880E18BT - BURST_8MEG_x18 + /Unassigned User Library Modules/ISERDES - ISERDES_V + /Unassigned User Library Modules/LCD_2x16_DRIVER - Behavioral + /Unassigned User Library Modules/RAMB16 - RAMB16_V + /Unassigned User Library Modules/RAMB16_S1 - behav + /Unassigned User Library Modules/RAMB16_S18 - behav + /Unassigned User Library Modules/RAMB16_S18_S18 - behav + /Unassigned User Library Modules/RAMB16_S1_S1 - behav + /Unassigned User Library Modules/RAMB16_S2 - behav + /Unassigned User Library Modules/RAMB16_S2_S2 - behav + /Unassigned User Library Modules/RAMB16_S36 - behav + /Unassigned User Library Modules/RAMB16_S36_S36 - behav + /Unassigned User Library Modules/RAMB16_S4 - behav + /Unassigned User Library Modules/RAMB16_S4_S4 - behav + /Unassigned User Library Modules/RAMB16_S9 - behav + /Unassigned User Library Modules/RAMB18 - RAMB18_V + /Unassigned User Library Modules/RAMB36 - RAMB36_V + /Unassigned User Library Modules/RAMB4_S1 - behav + /Unassigned User Library Modules/RAMB4_S16 - behav + /Unassigned User Library Modules/RAMB4_S16_S16 - behav + /Unassigned User Library Modules/RAMB4_S1_S1 - behav + /Unassigned User Library Modules/RAMB4_S2 - behav + /Unassigned User Library Modules/RAMB4_S2_S2 - behav + /Unassigned User Library Modules/RAMB4_S4 - behav + /Unassigned User Library Modules/RAMB4_S4_S4 - behav + /Unassigned User Library Modules/RAMB4_S8 - behav + /Unassigned User Library Modules/RAMB4_S8_S8 - behav + /Unassigned User Library Modules/Wild2AHB - RTL + /Unassigned User Library Modules/X_DCM - X_DCM_V + /Unassigned User Library Modules/X_DCM_SP - X_DCM_SP_V + /Unassigned User Library Modules/ahbdpram - rtl + /Unassigned User Library Modules/ahbjtag_bsd - struct + /Unassigned User Library Modules/ahbrep - rtl + /Unassigned User Library Modules/ahbstat - rtl + /Unassigned User Library Modules/ahbtrace - rtl + /Unassigned User Library Modules/apbvga - rtl + /Unassigned User Library Modules/at_ahb_ctrl - rtl + /Unassigned User Library Modules/at_ahbs - sim + /Unassigned User Library Modules/at_util + /Unassigned User Library Modules/ata_device - behaveioral + /Unassigned User Library Modules/clkmux - rtl + /Unassigned User Library Modules/clkpad_ds - rtl + /Unassigned User Library Modules/components + /Unassigned User Library Modules/ddr2spa - rtl + /Unassigned User Library Modules/flash + /Unassigned User Library Modules/gracectrl - rtl + /Unassigned User Library Modules/greth_gbit_gen - rtl + /Unassigned User Library Modules/greth_gen - rtl + /Unassigned User Library Modules/grfpushwx - rtl + /Unassigned User Library Modules/grgpreg - rtl + /Unassigned User Library Modules/grspwc2_net - rtl + /Unassigned User Library Modules/grspwc_net - rtl + /Unassigned User Library Modules/grsysmon - rtl + /Unassigned User Library Modules/grusbhc_unisim - rtl + /Unassigned User Library Modules/i2cmst_gen - rtl + /Unassigned User Library Modules/i2cslv - rtl + /Unassigned User Library Modules/inpad_ddrv - rtl + /Unassigned User Library Modules/inpad_dsv - rtl + /Unassigned User Library Modules/iodpadv - rtl + /Unassigned User Library Modules/iopad_ddrv - rtl + /Unassigned User Library Modules/iopad_ddrvv - rtl + /Unassigned User Library Modules/iopad_dsv - rtl + /Unassigned User Library Modules/iopad_dsvv - rtl + /Unassigned User Library Modules/iopadvv - rtl + /Unassigned User Library Modules/jtagtst + /Unassigned User Library Modules/leon3cg - rtl + /Unassigned User Library Modules/leon3sh - rtl + /Unassigned User Library Modules/logan - rtl + /Unassigned User Library Modules/lvds_combo - rtl + /Unassigned User Library Modules/mul_61x61 - rtl + /Unassigned User Library Modules/nandtree - rtl + /Unassigned User Library Modules/odpadv - rtl + /Unassigned User Library Modules/outpad_ddrv - rtl + /Unassigned User Library Modules/outpad_dsv - rtl + /Unassigned User Library Modules/ringosc - rtl + /Unassigned User Library Modules/sdctrl - rtl + /Unassigned User Library Modules/sdctrl64 - rtl + /Unassigned User Library Modules/skew_outpad - rtl + /Unassigned User Library Modules/spartan6_ddr2_phy - rtl + /Unassigned User Library Modules/spictrl - rtl + /Unassigned User Library Modules/spimctrl - rtl + /Unassigned User Library Modules/srctrl - rtl + /Unassigned User Library Modules/ssrctrl_unisim - beh + /Unassigned User Library Modules/syncfifo - rtl + /Unassigned User Library Modules/syncram128 - rtl + /Unassigned User Library Modules/syncram128bw - rtl + /Unassigned User Library Modules/syncram156bw - rtl + /Unassigned User Library Modules/toutpadv - rtl + /Unassigned User Library Modules/toutpadvv - rtl + /Unassigned User Library Modules/vpkg + /testbench - behav |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|testbench.vhd + + + filter - IIR_CEL_FILTER - ar_IIR_CEL_FILTER (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd) + + 47 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000359000000020000000000000000000000000000000064ffffffff000000810000000000000002000003590000000100000000000000000000000100000000 + false + filter - IIR_CEL_FILTER - ar_IIR_CEL_FILTER (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd) + + + + 1 + Design Utilities + + + + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017a000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017a0000000100000000 + false + + + + + 1 + + + ModelSim Simulator + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000000000000000000017a000000010000000100000000000000000000000064ffffffff0000008100000000000000010000017a0000000100000000 + false + ModelSim Simulator + diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport @@ -1,7 +1,7 @@

- 2010-12-02T07:02:18 + 2010-12-08T07:56:55 leon3mp Unknown /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/lconfig.tk b/designs/leon3-APB_LCD-digilent-xc3s1600e/lconfig.tk old mode 100644 new mode 100755 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf new file mode 100755 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf @@ -0,0 +1,8 @@ +#QUARTUS_VERSION = "4.1" +#DATE = "17:39:37 December 03, 2004" + + +# Revisions + + +PROJECT_REVISION = leon3mp diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf new file mode 100755 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf @@ -0,0 +1,241 @@ +# Project-Wide Assignments +# ======================== +#set_global_assignment -name ORIGINAL_QUARTUS_VERSION "4.1 SP2" +#set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:13:08 DECEMBER 01, 2004" + +# Explicitly disable TimeQuest since the GRLIB flow invokes the classical +# timing analyzer and USE_TIMEQUEST_TIMING_ANALYZER defaults to "ON" +# set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER "OFF" + +set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/version.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/config.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/stdlib.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/sparc/sparc.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/multlib.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/leaves.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/amba.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/devices.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/defmst.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/apbctrl.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/ahbctrl.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb_pkg.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb.vhd -library grlib +set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/gencomp.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/netcomp.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/memory_inferred.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_inferred.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/mul_inferred.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_phy_inferred.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allclkgen.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allddr.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allmem.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allpads.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/alltap.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkgen.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkmux.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkand.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_ireg.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_oreg.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddrphy.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram64.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_2p.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_dp.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncfifo.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/regfile_3p.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/tap.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/techbuf.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/nandtree.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad_ds.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad_ds.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iodpad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad_ds.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/lvds_combo.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/odpad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad_ds.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/toutpad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/skew_outpad.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grspwc_net.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grspwc2_net.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grlfpw_net.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grfpw_net.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/mul_61x61.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/cpu_disas_net.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ringosc.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/system_monitor.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grgates.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad_ddr.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad_ddr.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad_ddr.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram128bw.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram128.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram156bw.vhd -library techmap +set_global_assignment -name VHDL_FILE ../../lib/eth/comp/ethcomp.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_pkg.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_rstgen.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_ahb_mst.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_tx.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_rx.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/core/grethc.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gen.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gbit_gen.vhd -library eth +set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/arith.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/mul32.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/div32.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/memctrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdctrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdctrl64.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdmctrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/srctrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/spimctrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuconfig.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuiface.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libmmu.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libiu.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libcache.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libproc3.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cachemem.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_icache.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_dcache.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_acache.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlbcam.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulrue.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulru.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlb.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutw.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_cache.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cpu_disasx.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/iu3.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwx.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mfpwx.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grlfpwx.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/tbufmem.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3x.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/proc3.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3s.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3cg.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/irqmp.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwxsh.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpushwx.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3sh.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/misc.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/rstgen.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/gptimer.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbram.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbdpram.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbtrace.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbtrace_mb.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbmst.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grgpio.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbstat.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/logan.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbps2.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom_package.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbvga.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/svgactrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cmst_gen.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/spictrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cslv.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild2ahb.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grsysmon.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/gracectrl.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grgpreg.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbmst2.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahb_mst_iface.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/net/net.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/uart.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/libdcom.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/apbuart.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom_uart.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/ahbuart.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtag.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/libjtagcom.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtagcom.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/ethernet_mac.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth_gbit.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/grethm.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr_phy.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp16a.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp32a.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp64a.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrspa.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spa.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2buf.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -library gaisler +set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/memoryctrl.vhd -library esa +set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/mctrl.vhd -library esa +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Adder.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/ADDRcntr.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/ALU.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Clk_divider.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/general_purpose.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_MUX.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_REG.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Multiplier.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MUX2.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/REG.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Shifter.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_CNA_amba/clock.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_uart/APB_UART.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_uart/BaudGen.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_uart/lpp_uart.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_uart/Shift_REG.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_uart/UART.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/lpp_amba.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -library lpp +set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd -library lpp +set_global_assignment -name VHDL_FILE config.vhd +set_global_assignment -name VHDL_FILE ahbrom.vhd +set_global_assignment -name VHDL_FILE leon3mp.vhd + +set_global_assignment -name TOP_LEVEL_ENTITY "leon3mp" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc @@ -0,0 +1,7 @@ +set_attribute input_pragma_keyword "cadence synopsys get2chip g2c fast ambit pragma" +include compile.rc + +read_hdl -vhdl -lib work config.vhd +read_hdl -vhdl -lib work ahbrom.vhd +read_hdl -vhdl -lib work leon3mp.vhd +elaborate leon3mp diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf @@ -242,6 +242,8 @@ NET "LCD_CS2" LOC = "P4" | IOSTANDARD = NET "ADC_SCK" LOC = "P13" | IOSTANDARD = LVTTL ; NET "ADC_CNV" LOC = "T14" | IOSTANDARD = LVTTL ; NET "ADC_SDI" LOC = "R13" | IOSTANDARD = LVTTL ; +NET "lppTXD" LOC = "N14" | IOSTANDARD = LVTTL ; +NET "lppRXD" LOC = "V7" | IOSTANDARD = LVTTL ; @@ -252,4 +254,3 @@ NET "ADC_SDI" LOC = "R13" | IOSTANDARD - diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd @@ -44,7 +44,9 @@ library lpp; use lpp.amba_lcd_16x2_ctrlr.all; use lpp.LCD_16x2_CFG.all; use lpp.lpp_ad_conv.all; - +use lpp.iir_filter.all; +use lpp.general_purpose.all; +use lpp.lpp_uart.all; entity leon3mp is generic ( @@ -138,7 +140,9 @@ entity leon3mp is BTN_WEST : in std_ulogic; ADC_SCK : out std_logic; ADC_CNV : out std_logic; - ADC_SDI : in std_logic + ADC_SDI : in std_logic; + lppTXD : out std_logic; + lppRXD : in std_logic ); end; @@ -211,7 +215,13 @@ architecture rtl of leon3mp is signal AD_in : AD7688_in(0 downto 0); signal AD_out : AD7688_out; - + signal smpclk_out : std_logic; + signal smpclk_in : std_logic; + signal sample_out : samplT(0 downto 0,11 downto 0); + signal sample_in : samplT(0 downto 0,11 downto 0); + signal sample_clk : std_logic; + signal sample_clk_out : std_logic; + attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; @@ -486,6 +496,15 @@ LCD0 : apb_lcd_ctrlr Port map( rstn,clkm,apbi, apbo(8),data(15 downto 8),LCD_RS,LCD_RW,LCD_E,LCD_RET,LCD_CS1,LCD_CS2,SF_CE0); ----------------------------------------------------------------------- +-------- LPP UART ---------------------------------------------------- +----------------------------------------------------------------------- + +LPPUART0: APB_UART + generic map( 12, 12,16#fff#,0,8,8) + port map(clkm,rstn,apbi, apbo(12),lppTXD,lppRXD); + + +----------------------------------------------------------------------- --- ADS7886 ---------------------------------------------------- ----------------------------------------------------------------------- @@ -496,6 +515,21 @@ ADC0 : lpp_apb_ad_conv AD_in(0).SDI <= ADC_SDI; ADC_CNV <= AD_out.CNV; ADC_SCK <= AD_out.SCK; + + +----------------------------------------------------------------------- +--- I I R F I L T E R -------------------------------------------- +----------------------------------------------------------------------- +smplclkgen: Clk_divider + generic map(40000000,1000) + Port map( clkm ,rstn,sample_clk); + + +FILTER0: APB_IIR_CEL + generic map(10,10,16#fff#,0,8,1,12,9,3,5,use_RAM) + port map(rstn,clkm,apbi, apbo(10),sample_clk,sample_clk_out,sample_in,sample_out + ); + ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- @@ -583,7 +617,7 @@ ADC_SCK <= AD_out.SCK; --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- - nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE+1) to NAHBMST-1 generate + nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE+5) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; -- nap0 : for i in 9 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate; diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst @@ -0,0 +1,56 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn leon3mp.prj +-uc leon3mp.xcf +-ifmt mixed +-ofn leon3mp +-ofmt NGC +-p xc3s1600e-4-fg320 +-top leon3mp +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy No +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter () +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-verilog2001 YES +-fsm_extract NO +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-mux_style Auto +-decoder_extract YES +-priority_extract Yes +-shreg_extract YES +-shift_extract YES +-xor_collapse YES +-rom_style Auto +-auto_bram_packing NO +-mux_extract Yes +-resource_sharing YES +-async_to_sync NO +-mult_style Auto +-iobuf YES +-max_fanout 500 +-bufg 24 +-register_duplication YES +-register_balancing No +-slice_packing YES +-optimize_primitives NO +-use_clock_enable Yes +-use_sync_set Yes +-use_sync_reset Yes +-iob True +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl @@ -0,0 +1,33 @@ +new_design -name "leon3mp" -family "Spartan3E" +set_device -die "xc3s1600e" -package " " -speed "-4" -voltage "1.5" -iostd "LVTTL" -jtag "yes" -probe "yes" -trst "yes" -temprange "" -voltrange "" +if {[file exist leon3mp.pdc]} { +import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -format "pdc" -abort_on_error "no" {leon3mp.pdc} +} else { +import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} +} +compile -combine_register 1 +if {[file exist ]} { + import_aux -format "pdc" -abort_on_error "no" {} + pin_commit +} else { + puts "WARNING: No PDC file imported." +} +if {[file exist ]} { + import_aux -format "sdc" -merge_timing "no" {} +} else { + puts "WARNING: No SDC file imported." +} +save_design {leon3mp.adb} +report -type status {./actel/report_status_pre.log} +layout -timing_driven -incremental "OFF" +save_design {leon3mp.adb} +backannotate -dir {./actel} -name "leon3mp" -format "SDF" -language "VHDL93" -netlist +report -type "timer" -analysis "max" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no" {./actel/report_timer_max.txt} +report -type "timer" -analysis "min" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no" {./actel/report_timer_min.txt} +report -type "pin" -listby "name" {./actel/report_pin_name.log} +report -type "pin" -listby "number" {./actel/report_pin_number.log} +report -type "datasheet" {./actel/report_datasheet.txt} +export -format "pdb" -feature "prog_fpga" -io_state "Tri-State" {./actel/leon3mp.pdb} +export -format log -diagnostic {./actel/report_log.log} +report -type status {./actel/report_status_post.log} +save_design {leon3mp.adb} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl @@ -0,0 +1,8 @@ +new_design -name "leon3mp" -family "Spartan3E" +set_device -die "xc3s1600e" -package " " -speed "-4" -voltage "1.5" -iostd "LVTTL" -jtag "yes" -probe "yes" -trst "yes" -temprange "" -voltrange "" +if {[file exist leon3mp.pdc]} { +import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -format "pdc" -abort_on_error "no" {leon3mp.pdc} +} else { +import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} +} +save_design {leon3mp.adb} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl @@ -0,0 +1,521 @@ +project new leon3mp.ise +project set family "Spartan3E" +project set device xc3s1600e +project set speed -4 +project set package fg320 +puts "Adding files to project" +lib_vhdl new grlib +xfile add "../../lib/grlib/stdlib/version.vhd" -lib_vhdl grlib +puts "../../lib/grlib/stdlib/version.vhd" +xfile add "../../lib/grlib/stdlib/config.vhd" -lib_vhdl grlib +puts "../../lib/grlib/stdlib/config.vhd" +xfile add "../../lib/grlib/stdlib/stdlib.vhd" -lib_vhdl grlib +puts "../../lib/grlib/stdlib/stdlib.vhd" +xfile add "../../lib/grlib/sparc/sparc.vhd" -lib_vhdl grlib +puts "../../lib/grlib/sparc/sparc.vhd" +xfile add "../../lib/grlib/modgen/multlib.vhd" -lib_vhdl grlib +puts "../../lib/grlib/modgen/multlib.vhd" +xfile add "../../lib/grlib/modgen/leaves.vhd" -lib_vhdl grlib +puts "../../lib/grlib/modgen/leaves.vhd" +xfile add "../../lib/grlib/amba/amba.vhd" -lib_vhdl grlib +puts "../../lib/grlib/amba/amba.vhd" +xfile add "../../lib/grlib/amba/devices.vhd" -lib_vhdl grlib +puts "../../lib/grlib/amba/devices.vhd" +xfile add "../../lib/grlib/amba/defmst.vhd" -lib_vhdl grlib +puts "../../lib/grlib/amba/defmst.vhd" +xfile add "../../lib/grlib/amba/apbctrl.vhd" -lib_vhdl grlib +puts "../../lib/grlib/amba/apbctrl.vhd" +xfile add "../../lib/grlib/amba/ahbctrl.vhd" -lib_vhdl grlib +puts "../../lib/grlib/amba/ahbctrl.vhd" +xfile add "../../lib/grlib/amba/dma2ahb_pkg.vhd" -lib_vhdl grlib +puts "../../lib/grlib/amba/dma2ahb_pkg.vhd" +xfile add "../../lib/grlib/amba/dma2ahb.vhd" -lib_vhdl grlib +puts "../../lib/grlib/amba/dma2ahb.vhd" +lib_vhdl new unisim +lib_vhdl new synplify +lib_vhdl new techmap +xfile add "../../lib/techmap/gencomp/gencomp.vhd" -lib_vhdl techmap +puts "../../lib/techmap/gencomp/gencomp.vhd" +xfile add "../../lib/techmap/gencomp/netcomp.vhd" -lib_vhdl techmap +puts "../../lib/techmap/gencomp/netcomp.vhd" +xfile add "../../lib/techmap/inferred/memory_inferred.vhd" -lib_vhdl techmap +puts "../../lib/techmap/inferred/memory_inferred.vhd" +xfile add "../../lib/techmap/inferred/ddr_inferred.vhd" -lib_vhdl techmap +puts "../../lib/techmap/inferred/ddr_inferred.vhd" +xfile add "../../lib/techmap/inferred/mul_inferred.vhd" -lib_vhdl techmap +puts "../../lib/techmap/inferred/mul_inferred.vhd" +xfile add "../../lib/techmap/inferred/ddr_phy_inferred.vhd" -lib_vhdl techmap +puts "../../lib/techmap/inferred/ddr_phy_inferred.vhd" +xfile add "../../lib/techmap/unisim/memory_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/memory_unisim.vhd" +xfile add "../../lib/techmap/unisim/buffer_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/buffer_unisim.vhd" +xfile add "../../lib/techmap/unisim/pads_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/pads_unisim.vhd" +xfile add "../../lib/techmap/unisim/clkgen_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/clkgen_unisim.vhd" +xfile add "../../lib/techmap/unisim/tap_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/tap_unisim.vhd" +xfile add "../../lib/techmap/unisim/ddr_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/ddr_unisim.vhd" +xfile add "../../lib/techmap/unisim/ddr_phy_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/ddr_phy_unisim.vhd" +xfile add "../../lib/techmap/unisim/grspwc_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/grspwc_unisim.vhd" +xfile add "../../lib/techmap/unisim/grspwc2_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/grspwc2_unisim.vhd" +xfile add "../../lib/techmap/unisim/grusbhc_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/grusbhc_unisim.vhd" +xfile add "../../lib/techmap/unisim/ssrctrl_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/ssrctrl_unisim.vhd" +xfile add "../../lib/techmap/unisim/sysmon_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/sysmon_unisim.vhd" +xfile add "../../lib/techmap/unisim/mul_unisim.vhd" -lib_vhdl techmap +puts "../../lib/techmap/unisim/mul_unisim.vhd" +xfile add "../../lib/techmap/maps/allclkgen.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/allclkgen.vhd" +xfile add "../../lib/techmap/maps/allddr.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/allddr.vhd" +xfile add "../../lib/techmap/maps/allmem.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/allmem.vhd" +xfile add "../../lib/techmap/maps/allpads.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/allpads.vhd" +xfile add "../../lib/techmap/maps/alltap.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/alltap.vhd" +xfile add "../../lib/techmap/maps/clkgen.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/clkgen.vhd" +xfile add "../../lib/techmap/maps/clkmux.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/clkmux.vhd" +xfile add "../../lib/techmap/maps/clkand.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/clkand.vhd" +xfile add "../../lib/techmap/maps/ddr_ireg.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/ddr_ireg.vhd" +xfile add "../../lib/techmap/maps/ddr_oreg.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/ddr_oreg.vhd" +xfile add "../../lib/techmap/maps/ddrphy.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/ddrphy.vhd" +xfile add "../../lib/techmap/maps/syncram.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncram.vhd" +xfile add "../../lib/techmap/maps/syncram64.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncram64.vhd" +xfile add "../../lib/techmap/maps/syncram_2p.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncram_2p.vhd" +xfile add "../../lib/techmap/maps/syncram_dp.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncram_dp.vhd" +xfile add "../../lib/techmap/maps/syncfifo.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncfifo.vhd" +xfile add "../../lib/techmap/maps/regfile_3p.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/regfile_3p.vhd" +xfile add "../../lib/techmap/maps/tap.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/tap.vhd" +xfile add "../../lib/techmap/maps/techbuf.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/techbuf.vhd" +xfile add "../../lib/techmap/maps/nandtree.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/nandtree.vhd" +xfile add "../../lib/techmap/maps/clkpad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/clkpad.vhd" +xfile add "../../lib/techmap/maps/clkpad_ds.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/clkpad_ds.vhd" +xfile add "../../lib/techmap/maps/inpad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/inpad.vhd" +xfile add "../../lib/techmap/maps/inpad_ds.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/inpad_ds.vhd" +xfile add "../../lib/techmap/maps/iodpad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/iodpad.vhd" +xfile add "../../lib/techmap/maps/iopad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/iopad.vhd" +xfile add "../../lib/techmap/maps/iopad_ds.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/iopad_ds.vhd" +xfile add "../../lib/techmap/maps/lvds_combo.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/lvds_combo.vhd" +xfile add "../../lib/techmap/maps/odpad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/odpad.vhd" +xfile add "../../lib/techmap/maps/outpad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/outpad.vhd" +xfile add "../../lib/techmap/maps/outpad_ds.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/outpad_ds.vhd" +xfile add "../../lib/techmap/maps/toutpad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/toutpad.vhd" +xfile add "../../lib/techmap/maps/skew_outpad.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/skew_outpad.vhd" +xfile add "../../lib/techmap/maps/grspwc_net.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/grspwc_net.vhd" +xfile add "../../lib/techmap/maps/grspwc2_net.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/grspwc2_net.vhd" +xfile add "../../lib/techmap/maps/grlfpw_net.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/grlfpw_net.vhd" +xfile add "../../lib/techmap/maps/grfpw_net.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/grfpw_net.vhd" +xfile add "../../lib/techmap/maps/mul_61x61.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/mul_61x61.vhd" +xfile add "../../lib/techmap/maps/cpu_disas_net.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/cpu_disas_net.vhd" +xfile add "../../lib/techmap/maps/ringosc.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/ringosc.vhd" +xfile add "../../lib/techmap/maps/system_monitor.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/system_monitor.vhd" +xfile add "../../lib/techmap/maps/grgates.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/grgates.vhd" +xfile add "../../lib/techmap/maps/inpad_ddr.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/inpad_ddr.vhd" +xfile add "../../lib/techmap/maps/outpad_ddr.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/outpad_ddr.vhd" +xfile add "../../lib/techmap/maps/iopad_ddr.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/iopad_ddr.vhd" +xfile add "../../lib/techmap/maps/syncram128bw.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncram128bw.vhd" +xfile add "../../lib/techmap/maps/syncram128.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncram128.vhd" +xfile add "../../lib/techmap/maps/syncram156bw.vhd" -lib_vhdl techmap +puts "../../lib/techmap/maps/syncram156bw.vhd" +lib_vhdl new eth +xfile add "../../lib/eth/comp/ethcomp.vhd" -lib_vhdl eth +puts "../../lib/eth/comp/ethcomp.vhd" +xfile add "../../lib/eth/core/greth_pkg.vhd" -lib_vhdl eth +puts "../../lib/eth/core/greth_pkg.vhd" +xfile add "../../lib/eth/core/eth_rstgen.vhd" -lib_vhdl eth +puts "../../lib/eth/core/eth_rstgen.vhd" +xfile add "../../lib/eth/core/eth_ahb_mst.vhd" -lib_vhdl eth +puts "../../lib/eth/core/eth_ahb_mst.vhd" +xfile add "../../lib/eth/core/greth_tx.vhd" -lib_vhdl eth +puts "../../lib/eth/core/greth_tx.vhd" +xfile add "../../lib/eth/core/greth_rx.vhd" -lib_vhdl eth +puts "../../lib/eth/core/greth_rx.vhd" +xfile add "../../lib/eth/core/grethc.vhd" -lib_vhdl eth +puts "../../lib/eth/core/grethc.vhd" +xfile add "../../lib/eth/wrapper/greth_gen.vhd" -lib_vhdl eth +puts "../../lib/eth/wrapper/greth_gen.vhd" +xfile add "../../lib/eth/wrapper/greth_gbit_gen.vhd" -lib_vhdl eth +puts "../../lib/eth/wrapper/greth_gbit_gen.vhd" +lib_vhdl new gaisler +xfile add "../../lib/gaisler/arith/arith.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/arith/arith.vhd" +xfile add "../../lib/gaisler/arith/mul32.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/arith/mul32.vhd" +xfile add "../../lib/gaisler/arith/div32.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/arith/div32.vhd" +xfile add "../../lib/gaisler/memctrl/memctrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/memctrl/memctrl.vhd" +xfile add "../../lib/gaisler/memctrl/sdctrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/memctrl/sdctrl.vhd" +xfile add "../../lib/gaisler/memctrl/sdctrl64.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/memctrl/sdctrl64.vhd" +xfile add "../../lib/gaisler/memctrl/sdmctrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/memctrl/sdmctrl.vhd" +xfile add "../../lib/gaisler/memctrl/srctrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/memctrl/srctrl.vhd" +xfile add "../../lib/gaisler/memctrl/spimctrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/memctrl/spimctrl.vhd" +xfile add "../../lib/gaisler/leon3/leon3.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/leon3.vhd" +xfile add "../../lib/gaisler/leon3/mmuconfig.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmuconfig.vhd" +xfile add "../../lib/gaisler/leon3/mmuiface.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmuiface.vhd" +xfile add "../../lib/gaisler/leon3/libmmu.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/libmmu.vhd" +xfile add "../../lib/gaisler/leon3/libiu.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/libiu.vhd" +xfile add "../../lib/gaisler/leon3/libcache.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/libcache.vhd" +xfile add "../../lib/gaisler/leon3/libproc3.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/libproc3.vhd" +xfile add "../../lib/gaisler/leon3/cachemem.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/cachemem.vhd" +xfile add "../../lib/gaisler/leon3/mmu_icache.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmu_icache.vhd" +xfile add "../../lib/gaisler/leon3/mmu_dcache.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmu_dcache.vhd" +xfile add "../../lib/gaisler/leon3/mmu_acache.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmu_acache.vhd" +xfile add "../../lib/gaisler/leon3/mmutlbcam.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmutlbcam.vhd" +xfile add "../../lib/gaisler/leon3/mmulrue.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmulrue.vhd" +xfile add "../../lib/gaisler/leon3/mmulru.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmulru.vhd" +xfile add "../../lib/gaisler/leon3/mmutlb.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmutlb.vhd" +xfile add "../../lib/gaisler/leon3/mmutw.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmutw.vhd" +xfile add "../../lib/gaisler/leon3/mmu.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmu.vhd" +xfile add "../../lib/gaisler/leon3/mmu_cache.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mmu_cache.vhd" +xfile add "../../lib/gaisler/leon3/cpu_disasx.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/cpu_disasx.vhd" +xfile add "../../lib/gaisler/leon3/iu3.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/iu3.vhd" +xfile add "../../lib/gaisler/leon3/grfpwx.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/grfpwx.vhd" +xfile add "../../lib/gaisler/leon3/mfpwx.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/mfpwx.vhd" +xfile add "../../lib/gaisler/leon3/grlfpwx.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/grlfpwx.vhd" +xfile add "../../lib/gaisler/leon3/tbufmem.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/tbufmem.vhd" +xfile add "../../lib/gaisler/leon3/dsu3x.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/dsu3x.vhd" +xfile add "../../lib/gaisler/leon3/dsu3.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/dsu3.vhd" +xfile add "../../lib/gaisler/leon3/proc3.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/proc3.vhd" +xfile add "../../lib/gaisler/leon3/leon3s.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/leon3s.vhd" +xfile add "../../lib/gaisler/leon3/leon3cg.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/leon3cg.vhd" +xfile add "../../lib/gaisler/leon3/irqmp.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/irqmp.vhd" +xfile add "../../lib/gaisler/leon3/grfpwxsh.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/grfpwxsh.vhd" +xfile add "../../lib/gaisler/leon3/grfpushwx.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/grfpushwx.vhd" +xfile add "../../lib/gaisler/leon3/leon3sh.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/leon3/leon3sh.vhd" +xfile add "../../lib/gaisler/misc/misc.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/misc.vhd" +xfile add "../../lib/gaisler/misc/rstgen.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/rstgen.vhd" +xfile add "../../lib/gaisler/misc/gptimer.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/gptimer.vhd" +xfile add "../../lib/gaisler/misc/ahbram.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahbram.vhd" +xfile add "../../lib/gaisler/misc/ahbdpram.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahbdpram.vhd" +xfile add "../../lib/gaisler/misc/ahbtrace.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahbtrace.vhd" +xfile add "../../lib/gaisler/misc/ahbtrace_mb.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahbtrace_mb.vhd" +xfile add "../../lib/gaisler/misc/ahbmst.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahbmst.vhd" +xfile add "../../lib/gaisler/misc/grgpio.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/grgpio.vhd" +xfile add "../../lib/gaisler/misc/ahbstat.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahbstat.vhd" +xfile add "../../lib/gaisler/misc/logan.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/logan.vhd" +xfile add "../../lib/gaisler/misc/apbps2.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/apbps2.vhd" +xfile add "../../lib/gaisler/misc/charrom_package.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/charrom_package.vhd" +xfile add "../../lib/gaisler/misc/charrom.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/charrom.vhd" +xfile add "../../lib/gaisler/misc/apbvga.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/apbvga.vhd" +xfile add "../../lib/gaisler/misc/svgactrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/svgactrl.vhd" +xfile add "../../lib/gaisler/misc/i2cmst_gen.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/i2cmst_gen.vhd" +xfile add "../../lib/gaisler/misc/spictrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/spictrl.vhd" +xfile add "../../lib/gaisler/misc/i2cslv.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/i2cslv.vhd" +xfile add "../../lib/gaisler/misc/wild.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/wild.vhd" +xfile add "../../lib/gaisler/misc/wild2ahb.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/wild2ahb.vhd" +xfile add "../../lib/gaisler/misc/grsysmon.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/grsysmon.vhd" +xfile add "../../lib/gaisler/misc/gracectrl.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/gracectrl.vhd" +xfile add "../../lib/gaisler/misc/grgpreg.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/grgpreg.vhd" +xfile add "../../lib/gaisler/misc/ahbmst2.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahbmst2.vhd" +xfile add "../../lib/gaisler/misc/ahb_mst_iface.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/misc/ahb_mst_iface.vhd" +xfile add "../../lib/gaisler/net/net.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/net/net.vhd" +xfile add "../../lib/gaisler/uart/uart.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/uart/uart.vhd" +xfile add "../../lib/gaisler/uart/libdcom.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/uart/libdcom.vhd" +xfile add "../../lib/gaisler/uart/apbuart.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/uart/apbuart.vhd" +xfile add "../../lib/gaisler/uart/dcom.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/uart/dcom.vhd" +xfile add "../../lib/gaisler/uart/dcom_uart.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/uart/dcom_uart.vhd" +xfile add "../../lib/gaisler/uart/ahbuart.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/uart/ahbuart.vhd" +xfile add "../../lib/gaisler/jtag/jtag.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/jtag/jtag.vhd" +xfile add "../../lib/gaisler/jtag/libjtagcom.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/jtag/libjtagcom.vhd" +xfile add "../../lib/gaisler/jtag/jtagcom.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/jtag/jtagcom.vhd" +xfile add "../../lib/gaisler/jtag/ahbjtag.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/jtag/ahbjtag.vhd" +xfile add "../../lib/gaisler/jtag/ahbjtag_bsd.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/jtag/ahbjtag_bsd.vhd" +xfile add "../../lib/gaisler/greth/ethernet_mac.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/greth/ethernet_mac.vhd" +xfile add "../../lib/gaisler/greth/greth.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/greth/greth.vhd" +xfile add "../../lib/gaisler/greth/greth_gbit.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/greth/greth_gbit.vhd" +xfile add "../../lib/gaisler/greth/grethm.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/greth/grethm.vhd" +xfile add "../../lib/gaisler/ddr/ddr_phy.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddr_phy.vhd" +xfile add "../../lib/gaisler/ddr/ddrsp16a.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddrsp16a.vhd" +xfile add "../../lib/gaisler/ddr/ddrsp32a.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddrsp32a.vhd" +xfile add "../../lib/gaisler/ddr/ddrsp64a.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddrsp64a.vhd" +xfile add "../../lib/gaisler/ddr/ddrspa.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddrspa.vhd" +xfile add "../../lib/gaisler/ddr/ddr2spa.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddr2spa.vhd" +xfile add "../../lib/gaisler/ddr/ddr2buf.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddr2buf.vhd" +xfile add "../../lib/gaisler/ddr/ddr2spax.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddr2spax.vhd" +xfile add "../../lib/gaisler/ddr/ddr2spax_ahb.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddr2spax_ahb.vhd" +xfile add "../../lib/gaisler/ddr/ddr2spax_ddr.vhd" -lib_vhdl gaisler +puts "../../lib/gaisler/ddr/ddr2spax_ddr.vhd" +lib_vhdl new esa +xfile add "../../lib/esa/memoryctrl/memoryctrl.vhd" -lib_vhdl esa +puts "../../lib/esa/memoryctrl/memoryctrl.vhd" +xfile add "../../lib/esa/memoryctrl/mctrl.vhd" -lib_vhdl esa +puts "../../lib/esa/memoryctrl/mctrl.vhd" +lib_vhdl new fmf +lib_vhdl new spansion +lib_vhdl new gsi +lib_vhdl new lpp +xfile add "../../lib/lpp/./general_purpose/Adder.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/Adder.vhd" +xfile add "../../lib/lpp/./general_purpose/ADDRcntr.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/ADDRcntr.vhd" +xfile add "../../lib/lpp/./general_purpose/ALU.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/ALU.vhd" +xfile add "../../lib/lpp/./general_purpose/Clk_divider.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/Clk_divider.vhd" +xfile add "../../lib/lpp/./general_purpose/general_purpose.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/general_purpose.vhd" +xfile add "../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd" +xfile add "../../lib/lpp/./general_purpose/MAC_MUX2.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/MAC_MUX2.vhd" +xfile add "../../lib/lpp/./general_purpose/MAC_MUX.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/MAC_MUX.vhd" +xfile add "../../lib/lpp/./general_purpose/MAC_REG.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/MAC_REG.vhd" +xfile add "../../lib/lpp/./general_purpose/MAC.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/MAC.vhd" +xfile add "../../lib/lpp/./general_purpose/Multiplier.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/Multiplier.vhd" +xfile add "../../lib/lpp/./general_purpose/MUX2.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/MUX2.vhd" +xfile add "../../lib/lpp/./general_purpose/REG.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/REG.vhd" +xfile add "../../lib/lpp/./general_purpose/Shifter.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./general_purpose/Shifter.vhd" +xfile add "../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd" +xfile add "../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd" +xfile add "../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd" +xfile add "../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd" +xfile add "../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd" +xfile add "../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd" +xfile add "../../lib/lpp/./lpp_CNA_amba/clock.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_CNA_amba/clock.vhd" +xfile add "../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd" +xfile add "../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd" +xfile add "../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd" +xfile add "../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd" +xfile add "../../lib/lpp/./lpp_CNA_amba/Serialize.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_CNA_amba/Serialize.vhd" +xfile add "../../lib/lpp/./lpp_uart/APB_UART.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_uart/APB_UART.vhd" +xfile add "../../lib/lpp/./lpp_uart/BaudGen.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_uart/BaudGen.vhd" +xfile add "../../lib/lpp/./lpp_uart/lpp_uart.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_uart/lpp_uart.vhd" +xfile add "../../lib/lpp/./lpp_uart/Shift_REG.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_uart/Shift_REG.vhd" +xfile add "../../lib/lpp/./lpp_uart/UART.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_uart/UART.vhd" +xfile add "../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd" +xfile add "../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd" +xfile add "../../lib/lpp/./lpp_amba/lpp_amba.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./lpp_amba/lpp_amba.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/FILTER.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/FILTER.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/iir_filter.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/iir_filter.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/RAM.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/RAM.vhd" +xfile add "../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd" +xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd" -lib_vhdl lpp +puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd" +lib_vhdl new work +xfile add "leon3mp.ucf" +xfile add "config.vhd" -lib_vhdl work +puts "config.vhd" +xfile add "ahbrom.vhd" -lib_vhdl work +puts "ahbrom.vhd" +xfile add "leon3mp.vhd" -lib_vhdl work +puts "leon3mp.vhd" +project set top "rtl" "leon3mp" +project set "Bus Delimiter" () +project set "FSM Encoding Algorithm" None +project set "Pack I/O Registers into IOBs" yes +project set "Verilog Macros" "" +project set "Other XST Command Line Options" "-uc leon3mp.xcf" -process "Synthesize - XST" +project set "Allow Unmatched LOC Constraints" true -process "Translate" +project set "Macro Search Path" "../../netlists/xilinx/Spartan3" -process "Translate" +project set "Pack I/O Registers/Latches into IOBs" {For Inputs and Outputs} +project set "Other MAP Command Line Options" "-timing" -process Map +project set "Drive Done Pin High" true -process "Generate Programming File" +project set "Create ReadBack Data Files" true -process "Generate Programming File" +project set "Create Mask File" true -process "Generate Programming File" +project set "Run Design Rules Checker (DRC)" false -process "Generate Programming File" +project close +exit diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl @@ -0,0 +1,20 @@ +JDF G +PROJECT leon3mp +DESIGN leon3mp +DEVFAM Spartan3E +DEVICE xc3s1600e +DEVSPEED -4 +DEVPKG fg320 +DEVTOPLEVELMODULETYPE EDIF +DEVSIMULATOR Modelsim +DEVGENERATEDSIMULATIONMODEL VHDL +SOURCE synplify/leon3mp.edf +DEPASSOC leon3mp leon3mp.ucf +[Normal] +xilxMapAllowLogicOpt=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True +xilxMapCoverMode=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Speed +xilxNgdbld_AUL=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True +xilxPAReffortLevel=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Medium +xilxNgdbldMacro=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1105378344, ../../netlists/xilinx/Spartan3 +[STRATEGY-LIST] +Normal=True diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj @@ -0,0 +1,39 @@ +source compile.synp +add_file -vhdl -lib work config.vhd +add_file -vhdl -lib work ahbrom.vhd +add_file -vhdl -lib work leon3mp.vhd +add_file -edif ../../netlists/xilinx/Spartan3/grfpw_0_unisim.edf +add_file -edif ../../netlists/xilinx/Spartan3/grfpw4_0_unisim.edf +add_file -edif ../../netlists/xilinx/Spartan3/grlfpw_0_unisim.edf +add_file -edif ../../netlists/xilinx/Spartan3/grlfpw4_0_unisim.edf +add_file -constraint default.sdc + +#implementation: "synplify" +impl -add synplify + +#device options +set_option -technology Spartan3E +set_option -part xc3s1600e +set_option -speed_grade -4 + +#compilation/mapping options +set_option -symbolic_fsm_compiler 0 +set_option -resource_sharing 0 +set_option -use_fsm_explorer 0 +set_option -write_vhdl 1 +#set_option -disable_io_insertion 0 + +#map options +set_option -frequency 70 + +set_option -top_module leon3mp + +#set result format/file last +project -result_file "synplify/leon3mp.edf" + +#implementation attributes +set_option -vlog_std v95 +set_option -compiler_compatible 0 +set_option -package fg320 +set_option -pipe 1; set_option -retiming 1; set_option -write_apr_constraint 0 +impl -active "synplify" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf new file mode 100755 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf @@ -0,0 +1,8 @@ +#QUARTUS_VERSION = "4.1" +#DATE = "17:39:37 December 03, 2004" + + +# Revisions + + +PROJECT_REVISION = leon3mp_synplify diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf new file mode 100755 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf @@ -0,0 +1,12 @@ +# Project-Wide Assignments +# ======================== +#set_global_assignment -name ORIGINAL_QUARTUS_VERSION "4.1 SP2" +#set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:13:08 DECEMBER 01, 2004" + +# Explicitly disable TimeQuest since the GRLIB flow invokes the classical +# timing analyzer and USE_TIMEQUEST_TIMING_ANALYZER defaults to "ON" +# set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER "OFF" + +set_global_assignment -name VQM_FILE synplify/leon3mp.edf + +set_global_assignment -name TOP_LEVEL_ENTITY "leon3mp" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl @@ -0,0 +1,18 @@ +JDF G +PROJECT leon3mp +DESIGN leon3mp +DEVFAM Spartan3E +DEVICE xc3s1600e +DEVSPEED -4 +DEVPKG fg320 +DEVTOPLEVELMODULETYPE EDIF +DEVSIMULATOR Modelsim +DEVGENERATEDSIMULATIONMODEL VHDL +SOURCE synplify\leon3mp.edf +DEPASSOC leon3mp leon3mp.ucf +[Normal] +xilxMapAllowLogicOpt=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True +xilxMapCoverMode=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Speed +xilxNgdbld_AUL=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True +xilxPAReffortLevel=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Medium +xilxNgdbldMacro=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1105378344, ..\..\netlists\xilinx\Spartan3 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl @@ -0,0 +1,275 @@ +JDF G +PROJECT leon3mp +DESIGN leon3mp +DEVFAM Spartan3E +DEVICE xc3s1600e +DEVSPEED -4 +DEVPKG fg320 +DEVTOPLEVELMODULETYPE HDL +DEVSIMULATOR Modelsim +DEVGENERATEDSIMULATIONMODEL VHDL +SOURCE config.vhd +SOURCE ahbrom.vhd +SOURCE leon3mp.vhd +SUBLIB grlib VhdlLibrary vhdl +LIBFILE ..\..\lib\grlib\stdlib\version.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\stdlib\config.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\stdlib\stdlib.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\sparc\sparc.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\modgen\multlib.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\modgen\leaves.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\amba\amba.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\amba\devices.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\amba\defmst.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\amba\apbctrl.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\amba\ahbctrl.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\amba\dma2ahb_pkg.vhd grlib vhdl +LIBFILE ..\..\lib\grlib\amba\dma2ahb.vhd grlib vhdl +SUBLIB unisim VhdlLibrary vhdl +SUBLIB synplify VhdlLibrary vhdl +SUBLIB techmap VhdlLibrary vhdl +LIBFILE ..\..\lib\techmap\gencomp\gencomp.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\gencomp\netcomp.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\inferred\memory_inferred.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\inferred\ddr_inferred.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\inferred\mul_inferred.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\inferred\ddr_phy_inferred.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\memory_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\buffer_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\pads_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\clkgen_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\tap_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\ddr_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\ddr_phy_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\grspwc_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\grspwc2_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\grusbhc_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\ssrctrl_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\sysmon_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\unisim\mul_unisim.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\allclkgen.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\allddr.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\allmem.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\allpads.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\alltap.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\clkgen.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\clkmux.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\clkand.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\ddr_ireg.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\ddr_oreg.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\ddrphy.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncram.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncram64.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncram_2p.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncram_dp.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncfifo.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\regfile_3p.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\tap.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\techbuf.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\nandtree.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\clkpad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\clkpad_ds.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\inpad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\inpad_ds.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\iodpad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\iopad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\iopad_ds.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\lvds_combo.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\odpad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\outpad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\outpad_ds.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\toutpad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\skew_outpad.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\grspwc_net.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\grspwc2_net.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\grlfpw_net.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\grfpw_net.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\mul_61x61.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\cpu_disas_net.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\ringosc.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\system_monitor.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\grgates.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\inpad_ddr.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\outpad_ddr.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\iopad_ddr.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncram128bw.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncram128.vhd techmap vhdl +LIBFILE ..\..\lib\techmap\maps\syncram156bw.vhd techmap vhdl +SUBLIB eth VhdlLibrary vhdl +LIBFILE ..\..\lib\eth\comp\ethcomp.vhd eth vhdl +LIBFILE ..\..\lib\eth\core\greth_pkg.vhd eth vhdl +LIBFILE ..\..\lib\eth\core\eth_rstgen.vhd eth vhdl +LIBFILE ..\..\lib\eth\core\eth_ahb_mst.vhd eth vhdl +LIBFILE ..\..\lib\eth\core\greth_tx.vhd eth vhdl +LIBFILE ..\..\lib\eth\core\greth_rx.vhd eth vhdl +LIBFILE ..\..\lib\eth\core\grethc.vhd eth vhdl +LIBFILE ..\..\lib\eth\wrapper\greth_gen.vhd eth vhdl +LIBFILE ..\..\lib\eth\wrapper\greth_gbit_gen.vhd eth vhdl +SUBLIB gaisler VhdlLibrary vhdl +LIBFILE ..\..\lib\gaisler\arith\arith.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\arith\mul32.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\arith\div32.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\memctrl\memctrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\memctrl\sdctrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\memctrl\sdctrl64.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\memctrl\sdmctrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\memctrl\srctrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\memctrl\spimctrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\leon3.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmuconfig.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmuiface.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\libmmu.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\libiu.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\libcache.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\libproc3.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\cachemem.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmu_icache.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmu_dcache.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmu_acache.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmutlbcam.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmulrue.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmulru.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmutlb.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmutw.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmu.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mmu_cache.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\cpu_disasx.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\iu3.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\grfpwx.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\mfpwx.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\grlfpwx.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\tbufmem.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\dsu3x.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\dsu3.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\proc3.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\leon3s.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\leon3cg.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\irqmp.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\grfpwxsh.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\grfpushwx.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\leon3\leon3sh.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\misc.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\rstgen.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\gptimer.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahbram.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahbdpram.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahbtrace.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahbtrace_mb.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahbmst.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\grgpio.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahbstat.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\logan.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\apbps2.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\charrom_package.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\charrom.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\apbvga.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\svgactrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\i2cmst_gen.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\spictrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\i2cslv.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\wild.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\wild2ahb.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\grsysmon.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\gracectrl.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\grgpreg.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahbmst2.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\misc\ahb_mst_iface.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\net\net.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\uart\uart.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\uart\libdcom.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\uart\apbuart.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\uart\dcom.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\uart\dcom_uart.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\uart\ahbuart.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\jtag\jtag.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\jtag\libjtagcom.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\jtag\jtagcom.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\jtag\ahbjtag.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\jtag\ahbjtag_bsd.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\greth\ethernet_mac.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\greth\greth.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\greth\greth_gbit.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\greth\grethm.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddr_phy.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddrsp16a.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddrsp32a.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddrsp64a.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddrspa.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddr2spa.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddr2buf.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddr2spax.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddr2spax_ahb.vhd gaisler vhdl +LIBFILE ..\..\lib\gaisler\ddr\ddr2spax_ddr.vhd gaisler vhdl +SUBLIB esa VhdlLibrary vhdl +LIBFILE ..\..\lib\esa\memoryctrl\memoryctrl.vhd esa vhdl +LIBFILE ..\..\lib\esa\memoryctrl\mctrl.vhd esa vhdl +SUBLIB fmf VhdlLibrary vhdl +SUBLIB spansion VhdlLibrary vhdl +SUBLIB gsi VhdlLibrary vhdl +SUBLIB lpp VhdlLibrary vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\Adder.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\ADDRcntr.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\ALU.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\Clk_divider.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\general_purpose.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_MUX2.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_MUX.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_REG.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\MAC.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\Multiplier.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\MUX2.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\REG.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\general_purpose\Shifter.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_ad_Conv\AD7688_drvr.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_ad_Conv\AD7688_spi_if.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_ad_Conv\ADS7886_drvr.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_ad_Conv\lpp_ad_Conv.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_ad_Conv\lpp_apb_ad_conv.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_CNA_amba\APB_CNA.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_CNA_amba\clock.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_CNA_amba\CNA_TabloC.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_CNA_amba\Convertisseur_config.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_CNA_amba\GeneSYNC_flag.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_CNA_amba\lpp_CNA_amba.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_CNA_amba\Serialize.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_uart\APB_UART.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_uart\BaudGen.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_uart\lpp_uart.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_uart\Shift_REG.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_uart\UART.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_amba\APB_MULTI_DIODE.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_amba\APB_SIMPLE_DIODE.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\lpp_amba\lpp_amba.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\APB_IIR_CEL.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FilterCTRLR.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTER_RAM_CTRLR.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTER.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\IIR_CEL_FILTER.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\iir_filter.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM_CTRLR2.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\Top_Filtre_IIR.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\amba_lcd_16x2_ctrlr.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\apb_lcd_ctrlr.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\FRAME_CLK.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_CFG.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_DRVR.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_ENGINE.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_2x16_DRIVER.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_CLK_GENERATOR.vhd lpp vhdl +LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\Top_LCD.vhd lpp vhdl +SUBLIB work VhdlLibrary vhdl +DEPASSOC leon3mp leon3mp.ucf +[Normal] +_SynthFsmEncode=xstvhd, Spartan3E, VHDL.t_synthesize, 1102507235, None +p_xstBusDelimiter=xstvhd, Spartan3E, VHDL.t_synthesize, 1102507235, () +xilxMapAllowLogicOpt=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True +xilxMapCoverMode=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, Speed +xilxMapTimingDrivenPacking=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True +xilxNgdbld_AUL=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True +xilxNgdbldMacro=xstvhd, Spartan3E, VHDL.t_ngdbuild, 1105377047, ..\..\netlists\xilinx\Spartan3 +xilxPAReffortLevel=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, Medium diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do @@ -0,0 +1,17 @@ +vlib modelsim +vlib modelsim/grlib +vlib modelsim/unisim +vlib modelsim/dw02 +vlib modelsim/synplify +vlib modelsim/techmap +vlib modelsim/eth +vlib modelsim/gaisler +vlib modelsim/esa +vlib modelsim/fmf +vlib modelsim/spansion +vlib modelsim/gsi +vlib modelsim/lpp +vlib modelsim/cypress +vlib modelsim/hynix +vlib modelsim/micron +vlib modelsim/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt @@ -0,0 +1,1 @@ +grlib unisim dw02 synplify techmap eth gaisler esa fmf spansion gsi lpp cypress hynix micron work \ No newline at end of file diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim @@ -0,0 +1,307 @@ + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd + acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd + acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd + acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd + acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd + acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd + acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd + acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd + alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd + acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd + acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd + acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd + acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd + acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd + acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd + acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Clk_divider.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/clock.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/APB_UART.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/BaudGen.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/lpp_uart.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/Shift_REG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_uart/UART.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd + acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd + acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd + acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd + alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v + acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd + acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd + alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v + alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v + acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd + acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd + acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd + acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd + acom -quiet -accept87 -work work ../../config.vhd + acom -quiet -accept87 -work work ../../ahbrom.vhd + acom -quiet -accept87 -work work ../../leon3mp.vhd + acom -quiet -accept87 -work work ../../testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile @@ -0,0 +1,5 @@ + +addfile -vhdl ../../config.vhd +addfile -vhdl ../../ahbrom.vhd +addfile -vhdl ../../leon3mp.vhd +addfile -vhdl ../../testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim @@ -0,0 +1,326 @@ +ncsim: + mkdir xncsim + mkdir xncsim/grlib + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/version.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/config.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdlib.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdio.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/testlib.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/util/util.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc_disas.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/cpu_disas.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/multlib.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/leaves.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/devices.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/defmst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/apbctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/ahbctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba_tp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_util.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + mkdir xncsim/unisim + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + mkdir xncsim/dw02 + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + mkdir xncsim/synplify + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synplify.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synattr.vhd + mkdir xncsim/techmap + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/gencomp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/netcomp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/memory_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/mul_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/memory_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/pads_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/tap_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/mul_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allclkgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allmem.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allpads.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/alltap.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkmux.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkand.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_ireg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_oreg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddrphy.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram64.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_2p.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_dp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncfifo.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/regfile_3p.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/tap.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/techbuf.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/nandtree.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iodpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/lvds_combo.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/odpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ds.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/toutpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/skew_outpad.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc2_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grlfpw_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grfpw_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/mul_61x61.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ringosc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/system_monitor.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grgates.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ddr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128bw.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram156bw.vhd + mkdir xncsim/eth + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/comp/ethcomp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_pkg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_rstgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_ahb_mst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_tx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_rx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/grethc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + mkdir xncsim/gaisler + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/arith.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/mul32.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/div32.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libmmu.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libiu.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libcache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libproc3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cachemem.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulru.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutw.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/iu3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/proc3.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3s.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/irqmp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/misc.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/rstgen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gptimer.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpio.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbstat.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/logan.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbps2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom_package.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbvga.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/svgactrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/spictrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cslv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grsysmon.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gracectrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpreg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/net/net.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/uart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/libdcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/apbuart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/ahbuart.vhd + ncvlog -nowarn DLCPTH -nocopyright -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ata_device.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram16.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/phy.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ahbrep.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/delay_wire.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/spi_flash.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/pwm_check.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/usbsim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtag.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/grethm.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + mkdir xncsim/esa + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/mctrl.vhd + mkdir xncsim/fmf + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/conversions.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/gen_utils.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/flash.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/s25fl064a.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/m25p80.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/fifo/idt7202.vhd + mkdir xncsim/spansion + mkdir xncsim/gsi + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/functions.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/core_burst.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/g880e18bt.vhd + mkdir xncsim/lpp + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Adder.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ALU.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/REG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_uart/UART.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + mkdir xncsim/cypress + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/components.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/package_utility.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd + mkdir xncsim/hynix + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/components.vhd + mkdir xncsim/micron + ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/sdram/mobile_sdr.v + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/components.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/ddr2.v + ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/mobile_ddr.v + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/ddr/mt46v16m16.vhd + mkdir xncsim/work + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/debug.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/grtestmod.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/cpu_disas.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work config.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ahbrom.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work leon3mp.vhd + ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work testbench.vhd + ncelab -timescale 10ps/10ps testbench:behav diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son @@ -0,0 +1,304 @@ +sonata-compile: + vhdlp -s -work grlib ../../lib/grlib/stdlib/version.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/config.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/stdlib.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/stdio.vhd + vhdlp -s -work grlib ../../lib/grlib/stdlib/testlib.vhd + vhdlp -s -work grlib ../../lib/grlib/util/util.vhd + vhdlp -s -work grlib ../../lib/grlib/sparc/sparc.vhd + vhdlp -s -work grlib ../../lib/grlib/sparc/sparc_disas.vhd + vhdlp -s -work grlib ../../lib/grlib/sparc/cpu_disas.vhd + vhdlp -s -work grlib ../../lib/grlib/modgen/multlib.vhd + vhdlp -s -work grlib ../../lib/grlib/modgen/leaves.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/amba.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/devices.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/defmst.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/apbctrl.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/ahbctrl.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/amba_tp.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_util.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd + vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + vhdlp -s -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + vhdlp -s -work synplify ../../lib/synplify/sim/synplify.vhd + vhdlp -s -work synplify ../../lib/synplify/sim/synattr.vhd + vhdlp -s -work techmap ../../lib/techmap/gencomp/gencomp.vhd + vhdlp -s -work techmap ../../lib/techmap/gencomp/netcomp.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/memory_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/mul_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + vhdlp -s -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/memory_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/pads_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/tap_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/mul_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allclkgen.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allmem.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/allpads.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/alltap.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkgen.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkmux.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkand.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ddr_ireg.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ddr_oreg.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ddrphy.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram64.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram_2p.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram_dp.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncfifo.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/regfile_3p.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/tap.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/techbuf.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/nandtree.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/clkpad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/inpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iodpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iopad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/lvds_combo.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/odpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/outpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ds.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/toutpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/skew_outpad.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grspwc_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grspwc2_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grlfpw_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grfpw_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/mul_61x61.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/ringosc.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/system_monitor.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/grgates.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ddr.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram128bw.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram128.vhd + vhdlp -s -work techmap ../../lib/techmap/maps/syncram156bw.vhd + vhdlp -s -work eth ../../lib/eth/comp/ethcomp.vhd + vhdlp -s -work eth ../../lib/eth/core/greth_pkg.vhd + vhdlp -s -work eth ../../lib/eth/core/eth_rstgen.vhd + vhdlp -s -work eth ../../lib/eth/core/eth_ahb_mst.vhd + vhdlp -s -work eth ../../lib/eth/core/greth_tx.vhd + vhdlp -s -work eth ../../lib/eth/core/greth_rx.vhd + vhdlp -s -work eth ../../lib/eth/core/grethc.vhd + vhdlp -s -work eth ../../lib/eth/wrapper/greth_gen.vhd + vhdlp -s -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + vhdlp -s -work gaisler ../../lib/gaisler/arith/arith.vhd + vhdlp -s -work gaisler ../../lib/gaisler/arith/mul32.vhd + vhdlp -s -work gaisler ../../lib/gaisler/arith/div32.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libmmu.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libiu.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libcache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/libproc3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/cachemem.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulru.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutw.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/iu3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/proc3.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3s.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/irqmp.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/misc.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/rstgen.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/gptimer.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbram.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpio.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbstat.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/logan.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/apbps2.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom_package.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/apbvga.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/svgactrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/spictrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cslv.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/wild.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/grsysmon.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/gracectrl.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpreg.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd + vhdlp -s -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + vhdlp -s -work gaisler ../../lib/gaisler/net/net.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/uart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/libdcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/apbuart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/uart/ahbuart.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/sim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/sram.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/ata_device.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/sram16.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/phy.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/ahbrep.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/delay_wire.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/spi_flash.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/pwm_check.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/usbsim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtag.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/greth.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd + vhdlp -s -work gaisler ../../lib/gaisler/greth/grethm.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + vhdlp -s -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd + vhdlp -s -work esa ../../lib/esa/memoryctrl/mctrl.vhd + vhdlp -s -work fmf ../../lib/fmf/utilities/conversions.vhd + vhdlp -s -work fmf ../../lib/fmf/utilities/gen_utils.vhd + vhdlp -s -work fmf ../../lib/fmf/flash/flash.vhd + vhdlp -s -work fmf ../../lib/fmf/flash/s25fl064a.vhd + vhdlp -s -work fmf ../../lib/fmf/flash/m25p80.vhd + vhdlp -s -work fmf ../../lib/fmf/fifo/idt7202.vhd + vhdlp -s -work gsi ../../lib/gsi/ssram/functions.vhd + vhdlp -s -work gsi ../../lib/gsi/ssram/core_burst.vhd + vhdlp -s -work gsi ../../lib/gsi/ssram/g880e18bt.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Adder.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ALU.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/REG.vhd + vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_uart/UART.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/components.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/package_utility.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd + vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd + vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + vhdlp -s -work hynix ../../lib/hynix/ddr2/components.vhd + vhdlp -s -work micron ../../lib/micron/sdram/components.vhd + vhdlp -s -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + vhdlp -s -work micron ../../lib/micron/ddr/mt46v16m16.vhd + vhdlp -s -work sonata ../../lib/work/debug/debug.vhd + vhdlp -s -work sonata ../../lib/work/debug/grtestmod.vhd + vhdlp -s -work sonata ../../lib/work/debug/cpu_disas.vhd + vhdlp -s -work sonata config.vhd + vhdlp -s -work sonata ahbrom.vhd + vhdlp -s -work sonata leon3mp.vhd + vhdlp -s -work sonata testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim @@ -0,0 +1,308 @@ +vsim: + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/version.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/config.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdlib.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdio.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/testlib.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/util/util.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc_disas.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/sparc/cpu_disas.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/modgen/multlib.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/modgen/leaves.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/devices.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/defmst.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/apbctrl.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/ahbctrl.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba_tp.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_util.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd + vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + vcom -quiet -93 -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + vcom -quiet -93 -work synplify ../../lib/synplify/sim/synplify.vhd + vcom -quiet -93 -work synplify ../../lib/synplify/sim/synattr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/gencomp.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/netcomp.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/memory_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/mul_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/memory_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/pads_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/tap_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/mul_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allclkgen.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allmem.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/allpads.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/alltap.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkgen.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkmux.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkand.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_ireg.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_oreg.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddrphy.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram64.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_2p.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_dp.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncfifo.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/regfile_3p.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/tap.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/techbuf.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/nandtree.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iodpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/lvds_combo.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/odpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ds.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/toutpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/skew_outpad.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc2_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grlfpw_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grfpw_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/mul_61x61.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/ringosc.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/system_monitor.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/grgates.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ddr.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128bw.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128.vhd + vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram156bw.vhd + vcom -quiet -93 -work eth ../../lib/eth/comp/ethcomp.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/greth_pkg.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/eth_rstgen.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/eth_ahb_mst.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/greth_tx.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/greth_rx.vhd + vcom -quiet -93 -work eth ../../lib/eth/core/grethc.vhd + vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gen.vhd + vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/arith.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/mul32.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/div32.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libmmu.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libiu.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libcache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libproc3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cachemem.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulru.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutw.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/iu3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/proc3.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3s.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/irqmp.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/misc.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/rstgen.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gptimer.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbram.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpio.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbstat.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/logan.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbps2.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom_package.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbvga.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/svgactrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/spictrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cslv.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grsysmon.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gracectrl.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpreg.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/net/net.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/uart.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/libdcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/apbuart.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/ahbuart.vhd + vlog -quiet -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ata_device.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram16.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/phy.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ahbrep.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/delay_wire.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/spi_flash.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/pwm_check.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/usbsim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtag.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/grethm.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd + vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/mctrl.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/utilities/conversions.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/utilities/gen_utils.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/flash/flash.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/flash/s25fl064a.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/flash/m25p80.vhd + vcom -quiet -93 -work fmf ../../lib/fmf/fifo/idt7202.vhd + vcom -quiet -93 -work gsi ../../lib/gsi/ssram/functions.vhd + vcom -quiet -93 -work gsi ../../lib/gsi/ssram/core_burst.vhd + vcom -quiet -93 -work gsi ../../lib/gsi/ssram/g880e18bt.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Adder.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ALU.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/REG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_uart/UART.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/components.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/package_utility.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd + vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd + vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/components.vhd + vlog -quiet -work micron ../../lib/micron/sdram/mobile_sdr.v + vcom -quiet -93 -work micron ../../lib/micron/sdram/components.vhd + vcom -quiet -93 -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + vlog -quiet -work micron ../../lib/micron/ddr/ddr2.v + vlog -quiet -work micron ../../lib/micron/ddr/mobile_ddr.v + vcom -quiet -93 -work micron ../../lib/micron/ddr/mt46v16m16.vhd + vcom -quiet -93 -work work ../../lib/work/debug/debug.vhd + vcom -quiet -93 -work work ../../lib/work/debug/grtestmod.vhd + vcom -quiet -93 -work work ../../lib/work/debug/cpu_disas.vhd + vcom -quiet -93 -work work config.vhd + vcom -quiet -93 -work work ahbrom.vhd + vcom -quiet -93 -work work leon3mp.vhd + vcom -quiet -93 -work work testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini b/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini @@ -0,0 +1,227 @@ +[Library] +grlib = modelsim/grlib +unisim = modelsim/unisim +dw02 = modelsim/dw02 +synplify = modelsim/synplify +techmap = modelsim/techmap +eth = modelsim/eth +gaisler = modelsim/gaisler +esa = modelsim/esa +fmf = modelsim/fmf +spansion = modelsim/spansion +gsi = modelsim/gsi +lpp = modelsim/lpp +cypress = modelsim/cypress +hynix = modelsim/hynix +micron = modelsim/micron +work = modelsim/work +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +vital2000 = $MODEL_TECH/../vital2000 +verilog = $MODEL_TECH/../verilog +arithmetic = $MODEL_TECH/../arithmetic +mgc_portable = $MODEL_TECH/../mgc_portable +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys + +[vcom] +; Turn on VHDL-1993 as the default. Normally is off. +VHDL93 = 1 + +; Show source line containing error. Default is off. +Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +Explicit = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = false + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off inclusion of debugging info within design units. Default is to include. +; NoDebug = 1 + +; Turn off "loading..." messages. Default is messages on. +Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +[vlog] + +; Turn off inclusion of debugging info within design units. Default is to include. +; NoDebug = 1 + +; Turn off "loading..." messages. Default is messages on. +Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +[vsim] + +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is off (pre-6.0 flow without vopt). +VoptFlow = 0 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = 1ps + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +UserTimeUnit = ns + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; License = plus + +; Stop the simulator after an assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. For VHDL, PathSeparator = / +; for Verilog, PathSeparator = . +PathSeparator = / + +; Disable assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, or deposit +; or in other terms, fixed, wired or charged. +; DefaultForceKind = freeze + +; If zero, open files when elaborated +; else open files on first read or write +; DelayFileOpen = 0 + +; Control VHDL files opened for write +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; This controls the number of characters of a signal name +; shown in the waveform window and the postscript plot. +; The default value or a value of zero tells VSIM to display +; the full name. +; WaveSignalNameWidth = 10 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit +; packages. +; NumericStdNoWarnings = 1 + +; Control the format of a generate statement label. Don't quote it. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is to be compressed. +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +[lmc] +; ModelSim's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Sun4 SunOS) +; do setenv LD_LIBRARY_PATH $LMC_HOME/lib/sun4SunOS.lib +; and run "vsim.swift". +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll + +; ModelSim's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Sun4 SunOS) +; libsfi = /lib/sun4.sunos/libsfi.so +; Logic Modeling's hardware modeler SFI software (Window NT) +; libsfi = /lib/pcnt/lm_sfi.dll diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/prom.srec b/designs/leon3-APB_LCD-digilent-xc3s1600e/prom.srec old mode 100644 new mode 100755 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/sdram.srec b/designs/leon3-APB_LCD-digilent-xc3s1600e/sdram.srec old mode 100644 new mode 100755 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/sram.srec b/designs/leon3-APB_LCD-digilent-xc3s1600e/sram.srec old mode 100644 new mode 100755 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl b/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl @@ -0,0 +1,321 @@ +ghdl: + mkdir gnu + mkdir gnu/grlib + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/version.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/config.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdlib.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdio.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/testlib.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/util/util.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc_disas.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/cpu_disas.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/multlib.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/leaves.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/devices.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/defmst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/apbctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/ahbctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_tp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba_tp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_util.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahbs.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd + mkdir gnu/unisim + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/simple_simprim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd + mkdir gnu/dw02 + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/dw02 --work=dw02 -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 ../../lib/tech/dw02/comp/DW02_components.vhd + mkdir gnu/synplify + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synplify.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synattr.vhd + mkdir gnu/techmap + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/gencomp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/netcomp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/memory_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/mul_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/dw02/mul_dw_gen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/memory_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/buffer_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/pads_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/clkgen_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/tap_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/sysmon_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/mul_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allclkgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allmem.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allpads.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/alltap.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkmux.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkand.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_ireg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_oreg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddrphy.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram64.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_2p.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_dp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncfifo.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/regfile_3p.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/tap.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/techbuf.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/nandtree.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iodpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/lvds_combo.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/odpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ds.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/toutpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/skew_outpad.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc2_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grlfpw_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grfpw_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/mul_61x61.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/cpu_disas_net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ringosc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/system_monitor.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grgates.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ddr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128bw.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram156bw.vhd + mkdir gnu/eth + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/comp/ethcomp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_pkg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_rstgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_ahb_mst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_tx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_rx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/grethc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gbit_gen.vhd + mkdir gnu/gaisler + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/arith.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/mul32.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/div32.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/memctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/srctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/spimctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuconfig.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuiface.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libmmu.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libiu.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libcache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libproc3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cachemem.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_icache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_acache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulrue.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulru.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutw.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_cache.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/iu3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mfpwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grlfpwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/tbufmem.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3x.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/proc3.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3s.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3cg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/irqmp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpushwx.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3sh.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/misc.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/rstgen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gptimer.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbdpram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpio.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbstat.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/logan.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbps2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom_package.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbvga.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/svgactrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/spictrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cslv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild2ahb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grsysmon.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gracectrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpreg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/net/net.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/uart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/libdcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/apbuart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom_uart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/ahbuart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ata_device.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram16.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/phy.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ahbrep.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/delay_wire.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/spi_flash.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/pwm_check.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/usbsim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusbdcsim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtag.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/libjtagcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagcom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagtst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/ethernet_mac.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth_gbit.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/grethm.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr_phy.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrspa.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spa.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2buf.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd + mkdir gnu/esa + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/memoryctrl.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/mctrl.vhd + mkdir gnu/fmf + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/conversions.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/gen_utils.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/flash.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/s25fl064a.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/m25p80.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/fifo/idt7202.vhd + mkdir gnu/spansion + mkdir gnu/gsi + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/functions.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/core_burst.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/g880e18bt.vhd + mkdir gnu/lpp + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Adder.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ALU.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Clk_divider.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/general_purpose.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Multiplier.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MUX2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/REG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Shifter.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_drvr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/AD7688_spi_if.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/ADS7886_drvr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/lpp_ad_Conv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_ad_Conv/lpp_apb_ad_conv.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/APB_CNA.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/clock.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/CNA_TabloC.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/Convertisseur_config.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/GeneSYNC_flag.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/lpp_CNA_amba.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_CNA_amba/Serialize.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/APB_UART.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/BaudGen.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/lpp_uart.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/Shift_REG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_uart/UART.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd + mkdir gnu/cypress + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/components.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/package_utility.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1354b.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1380d.vhd + mkdir gnu/hynix + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/components.vhd + mkdir gnu/micron + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/components.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/mt48lc16m16a2.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/ddr/mt46v16m16.vhd + mkdir gnu/work + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/debug.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/grtestmod.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/cpu_disas.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work config.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ahbrom.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work leon3mp.vhd + ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml b/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml @@ -3,12 +3,12 @@ - +
- - + + - +
@@ -17,18 +17,20 @@ This means code written to parse this fi + - - - + + + + @@ -40,7 +42,6 @@ This means code written to parse this fi - diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt --- a/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt +++ b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt @@ -1,3 +1,5 @@ +amba_lcd_16x2_ctrlr.vhd +apb_lcd_ctrlr.vhd FRAME_CLK.vhd LCD_16x2_CFG.vhd LCD_16x2_DRVR.vhd @@ -5,5 +7,3 @@ LCD_16x2_ENGINE.vhd LCD_2x16_DRIVER.vhd LCD_CLK_GENERATOR.vhd Top_LCD.vhd -amba_lcd_16x2_ctrlr.vhd -apb_lcd_ctrlr.vhd diff --git a/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd --- a/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd +++ b/lib/lpp/dsp/iir_filter/APB_IIR_CEL.vhd @@ -25,7 +25,6 @@ use grlib.stdlib.all; use grlib.devices.all; library lpp; use lpp.iir_filter.all; -use lpp.FILTERcfg.all; use lpp.general_purpose.all; use lpp.lpp_amba.all; @@ -36,7 +35,13 @@ entity APB_IIR_CEL is pmask : integer := 16#fff#; pirq : integer := 0; abits : integer := 8; - Sample_SZ : integer := Smpl_SZ + Sample_SZ : integer := 16; + ChanelsCount : integer := 1; + Coef_SZ : integer := 9; + CoefCntPerCel: integer := 3; + Cels_count : integer := 5; + virgPos : integer := 3; + Mem_use : integer := use_RAM ); port ( rst : in std_logic; @@ -45,8 +50,8 @@ entity APB_IIR_CEL is apbo : out apb_slv_out_type; sample_clk : in std_logic; sample_clk_out : out std_logic; - sample_in : in samplT; - sample_out : out samplT + sample_in : in samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + sample_out : out samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0) ); end; @@ -66,17 +71,30 @@ type FILTERreg is record regout : out_IIR_CEL_reg; end record; +signal Rdata : std_logic_vector(31 downto 0); signal r : FILTERreg; signal filter_reset : std_logic:='0'; signal smp_cnt : integer :=0; signal sample_clk_out_R : std_logic; + + +type CoefCelT is array(CoefCntPerCel-1 downto 0) of std_logic_vector(Coef_SZ-1 downto 0); +type CoefTblT is array(Cels_count-1 downto 0) of CoefCelT; + +type CoefsRegT is record + numCoefs : CoefTblT; + denCoefs : CoefTblT; +end record; + +signal CoefsReg : CoefsRegT; + begin filter_reset <= rst and r.regin.config(0); sample_clk_out <= sample_clk_out_R; filter : IIR_CEL_FILTER -generic map(Sample_SZ => Sample_SZ) +generic map(Sample_SZ,ChanelsCount,Coef_SZ,CoefCntPerCel,Cels_count,Mem_use) port map( reset => filter_reset, clk => clk, @@ -106,8 +124,6 @@ end process; process(rst,clk) begin if rst = '0' then - r.regin.coefsTB.NumCoefs <= NumCoefs_cel; - r.regin.coefsTB.DenCoefs <= DenCoefs_cel; r.regin.virgPos <= std_logic_vector(to_unsigned(virgPos,5)); elsif clk'event and clk = '1' then @@ -125,17 +141,17 @@ begin if conv_integer(apbi.paddr(7 downto 5)) = i+1 then case apbi.paddr(4 downto 2) is when "000" => - r.regin.coefsTB.NumCoefs(i)(0) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + CoefsReg.numCoefs(i)(0) <= (apbi.pwdata(Coef_SZ-1 downto 0)); when "001" => - r.regin.coefsTB.NumCoefs(i)(1) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + CoefsReg.numCoefs(i)(1) <= (apbi.pwdata(Coef_SZ-1 downto 0)); when "010" => - r.regin.coefsTB.NumCoefs(i)(2) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + CoefsReg.numCoefs(i)(2) <= (apbi.pwdata(Coef_SZ-1 downto 0)); when "011" => - r.regin.coefsTB.DenCoefs(i)(0) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + CoefsReg.denCoefs(i)(0) <= (apbi.pwdata(Coef_SZ-1 downto 0)); when "100" => - r.regin.coefsTB.DenCoefs(i)(1) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + CoefsReg.denCoefs(i)(1) <= (apbi.pwdata(Coef_SZ-1 downto 0)); when "101" => - r.regin.coefsTB.DenCoefs(i)(2) <= coefT(apbi.pwdata(Coef_SZ-1 downto 0)); + CoefsReg.denCoefs(i)(2) <= (apbi.pwdata(Coef_SZ-1 downto 0)); when others => end case; end if; @@ -144,28 +160,28 @@ begin end if; --APB READ OP - if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then + if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then case apbi.paddr(7 downto 2) is when "000000" => when "000001" => - apbo.prdata(4 downto 0) <= r.regin.virgPos; + Rdata(4 downto 0) <= r.regin.virgPos; when others => for i in 0 to Cels_count-1 loop if conv_integer(apbi.paddr(7 downto 5)) = i+1 then case apbi.paddr(4 downto 2) is when "000" => - apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.NumCoefs(i)(0)); + Rdata(Coef_SZ-1 downto 0) <= std_logic_vector(CoefsReg.numCoefs(i)(0)); when "001" => - apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.NumCoefs(i)(1)); + Rdata(Coef_SZ-1 downto 0) <= std_logic_vector(CoefsReg.numCoefs(i)(1)); when "010" => - apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.NumCoefs(i)(2)); + Rdata(Coef_SZ-1 downto 0) <= std_logic_vector(CoefsReg.numCoefs(i)(2)); when "011" => - apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.DenCoefs(i)(0)); + Rdata(Coef_SZ-1 downto 0) <= std_logic_vector(CoefsReg.denCoefs(i)(0)); when "100" => - apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.DenCoefs(i)(1)); + Rdata(Coef_SZ-1 downto 0) <= std_logic_vector(CoefsReg.denCoefs(i)(1)); when "101" => - apbo.prdata(Coef_SZ-1 downto 0) <= std_logic_vector(r.regin.coefsTB.DenCoefs(i)(2)); + Rdata(Coef_SZ-1 downto 0) <= std_logic_vector(CoefsReg.denCoefs(i)(2)); when others => end case; end if; @@ -177,7 +193,7 @@ begin apbo.pconfig <= pconfig; end process; - +apbo.prdata <= Rdata when apbi.penable = '1' ; -- pragma translate_off bootmsg : report_version diff --git a/lib/lpp/dsp/iir_filter/FILTER.vhd b/lib/lpp/dsp/iir_filter/FILTER.vhd --- a/lib/lpp/dsp/iir_filter/FILTER.vhd +++ b/lib/lpp/dsp/iir_filter/FILTER.vhd @@ -28,6 +28,9 @@ use lpp.general_purpose.all; --Ncoefs = 26 000 000 /(6 * 110 000) = 39 coefs entity FILTER is +generic(Smpl_SZ : integer := 16; + ChanelsCNT : integer := 3 +); port( reset : in std_logic; diff --git a/lib/lpp/dsp/iir_filter/FILTERcfg.vhd b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd --- a/lib/lpp/dsp/iir_filter/FILTERcfg.vhd +++ b/lib/lpp/dsp/iir_filter/FILTERcfg.vhd @@ -24,14 +24,6 @@ use IEEE.std_logic_1164.all; package FILTERcfg is ---===========================================================| ---================A L U C O N T R O L======================| ---===========================================================| -constant IDLE : std_logic_vector(3 downto 0) := "0000"; -constant MAC_op : std_logic_vector(3 downto 0) := "0001"; -constant MULT : std_logic_vector(3 downto 0) := "0010"; -constant ADD : std_logic_vector(3 downto 0) := "0011"; -constant clr_mac : std_logic_vector(3 downto 0) := "0100"; --===========================================================| @@ -45,160 +37,120 @@ constant Smpl_SZ : integer := 16; constant Coef_SZ : integer := 9; constant Scalefac_SZ: integer := 3; constant Cels_count : integer := 5; ---____ ---RAM | ---____| -constant use_RAM : integer := 1; -constant use_CEL : integer := 0; constant Mem_use : integer := 1; ---===========================================================| ---=============C O E F S ====================================| ---===========================================================| --- create a specific type of data for coefs to avoid errors | ---===========================================================| - -type coefT is array(Coef_SZ-1 downto 0) of std_logic; -type scaleValT is array(natural range <>) of integer; - -type coef_celT is array(0 to 2) of coefT; - -type coefsT is array(natural range <>) of coefT ; - -type coefs_celT is array(natural range <>) of coef_celT; - -type samplT is array(ChanelsCNT-1 downto 0) of std_logic_vector(Smpl_SZ-1 downto 0); - - - - -type coefs_celsT is record - NumCoefs : coefs_celT(0 to Cels_count-1); - DenCoefs : coefs_celT(0 to Cels_count-1); -end record; - - -type in_IIR_CEL_reg is record - config : std_logic_vector(31 downto 0); - coefsTB : coefs_celsT; - virgPos : std_logic_vector(4 downto 0); -end record; -type out_IIR_CEL_reg is record - config : std_logic_vector(31 downto 0); - status : std_logic_vector(31 downto 0); -end record; --============================================================ -- create each initial values for each coefs ============ --!!!!!!!!!!It should be interfaced with a software !!!!!!!!!! --============================================================ -constant b0 : coefT := coefT(TO_SIGNED(-30,Coef_SZ)); -constant b1 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); -constant b2 : coefT := coefT(TO_SIGNED(-153,Coef_SZ)); -constant b3 : coefT := coefT(TO_SIGNED(-171,Coef_SZ)); -constant b4 : coefT := coefT(TO_SIGNED(-144,Coef_SZ)); -constant b5 : coefT := coefT(TO_SIGNED(-72,Coef_SZ)); -constant b6 : coefT := coefT(TO_SIGNED(-25,Coef_SZ)); - -constant a0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a1 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); -constant a2 : coefT := coefT(TO_SIGNED(-193,Coef_SZ)); -constant a3 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); -constant a4 : coefT := coefT(TO_SIGNED(-62,Coef_SZ)); - - -constant b0_0 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); -constant b0_1 : coefT := coefT(TO_SIGNED(-66,Coef_SZ)); -constant b0_2 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); - -constant b1_0 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); -constant b1_1 : coefT := coefT(TO_SIGNED(-57,Coef_SZ)); -constant b1_2 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); - -constant b2_0 : coefT := coefT(TO_SIGNED(29,Coef_SZ)); -constant b2_1 : coefT := coefT(TO_SIGNED(-17,Coef_SZ)); -constant b2_2 : coefT := coefT(TO_SIGNED(29,Coef_SZ)); - -constant b3_0 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); -constant b3_1 : coefT := coefT(TO_SIGNED(4,Coef_SZ)); -constant b3_2 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); - -constant b4_0 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); -constant b4_1 : coefT := coefT(TO_SIGNED(24,Coef_SZ)); -constant b4_2 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); - -constant b5_0 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); -constant b5_1 : coefT := coefT(TO_SIGNED(-153,Coef_SZ)); -constant b5_2 : coefT := coefT(TO_SIGNED(-171,Coef_SZ)); - -constant b6_0 : coefT := coefT(TO_SIGNED(-144,Coef_SZ)); -constant b6_1 : coefT := coefT(TO_SIGNED(-72,Coef_SZ)); -constant b6_2 : coefT := coefT(TO_SIGNED(-25,Coef_SZ)); - - -constant a0_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a0_1 : coefT := coefT(TO_SIGNED(189,Coef_SZ)); -constant a0_2 : coefT := coefT(TO_SIGNED(-111,Coef_SZ)); - -constant a1_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a1_1 : coefT := coefT(TO_SIGNED(162,Coef_SZ)); -constant a1_2 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); - -constant a2_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a2_1 : coefT := coefT(TO_SIGNED(136,Coef_SZ)); -constant a2_2 : coefT := coefT(TO_SIGNED(-55,Coef_SZ)); - -constant a3_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a3_1 : coefT := coefT(TO_SIGNED(114,Coef_SZ)); -constant a3_2 : coefT := coefT(TO_SIGNED(-33,Coef_SZ)); - -constant a4_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a4_1 : coefT := coefT(TO_SIGNED(100,Coef_SZ)); -constant a4_2 : coefT := coefT(TO_SIGNED(-20,Coef_SZ)); - -constant a5_0 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); -constant a5_1 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a5_2 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); -constant a6_0 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); -constant a6_1 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); -constant a6_2 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); - - -constant celb0 : coef_celT := (b0_0,b0_1,b0_2); -constant celb1 : coef_celT := (b1_0,b1_1,b1_2); -constant celb2 : coef_celT := (b2_0,b2_1,b2_2); -constant celb3 : coef_celT := (b3_0,b3_1,b3_2); -constant celb4 : coef_celT := (b4_0,b4_1,b4_2); -constant celb5 : coef_celT := (b5_0,b5_1,b5_2); -constant celb6 : coef_celT := (b6_0,b6_1,b6_2); - -constant cela0 : coef_celT := (a0_0,a0_1,a0_2); -constant cela1 : coef_celT := (a1_0,a1_1,a1_2); -constant cela2 : coef_celT := (a2_0,a2_1,a2_2); -constant cela3 : coef_celT := (a3_0,a3_1,a3_2); -constant cela4 : coef_celT := (a4_0,a4_1,a4_2); -constant cela5 : coef_celT := (a5_0,a5_1,a5_2); -constant cela6 : coef_celT := (a6_0,a6_1,a6_2); - - - -constant NumCoefs_cel : coefs_celT(0 to Cels_count-1) := (celb0,celb1,celb2,celb3,celb4); -constant DenCoefs_cel : coefs_celT(0 to Cels_count-1) := (cela0,cela1,cela2,cela3,cela4); -constant virgPos : integer := 7; - - - - - - - -signal NumeratorCoefs : coefsT(0 to 6) := (b0,b1,b2,b3,b4,b5,b6); -signal DenominatorCoefs : coefsT(0 to 4) := (a0,a1,a2,a3,a4); - - -signal sample_Tbl : samplT; +--constant b0 : coefT := coefT(TO_SIGNED(-30,Coef_SZ)); +--constant b1 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); +--constant b2 : coefT := coefT(TO_SIGNED(-153,Coef_SZ)); +--constant b3 : coefT := coefT(TO_SIGNED(-171,Coef_SZ)); +--constant b4 : coefT := coefT(TO_SIGNED(-144,Coef_SZ)); +--constant b5 : coefT := coefT(TO_SIGNED(-72,Coef_SZ)); +--constant b6 : coefT := coefT(TO_SIGNED(-25,Coef_SZ)); +-- +--constant a0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a1 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); +--constant a2 : coefT := coefT(TO_SIGNED(-193,Coef_SZ)); +--constant a3 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); +--constant a4 : coefT := coefT(TO_SIGNED(-62,Coef_SZ)); +-- +-- +--constant b0_0 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); +--constant b0_1 : coefT := coefT(TO_SIGNED(-66,Coef_SZ)); +--constant b0_2 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); +-- +--constant b1_0 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); +--constant b1_1 : coefT := coefT(TO_SIGNED(-57,Coef_SZ)); +--constant b1_2 : coefT := coefT(TO_SIGNED(58,Coef_SZ)); +-- +--constant b2_0 : coefT := coefT(TO_SIGNED(29,Coef_SZ)); +--constant b2_1 : coefT := coefT(TO_SIGNED(-17,Coef_SZ)); +--constant b2_2 : coefT := coefT(TO_SIGNED(29,Coef_SZ)); +-- +--constant b3_0 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); +--constant b3_1 : coefT := coefT(TO_SIGNED(4,Coef_SZ)); +--constant b3_2 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); +-- +--constant b4_0 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); +--constant b4_1 : coefT := coefT(TO_SIGNED(24,Coef_SZ)); +--constant b4_2 : coefT := coefT(TO_SIGNED(15,Coef_SZ)); +-- +--constant b5_0 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); +--constant b5_1 : coefT := coefT(TO_SIGNED(-153,Coef_SZ)); +--constant b5_2 : coefT := coefT(TO_SIGNED(-171,Coef_SZ)); +-- +--constant b6_0 : coefT := coefT(TO_SIGNED(-144,Coef_SZ)); +--constant b6_1 : coefT := coefT(TO_SIGNED(-72,Coef_SZ)); +--constant b6_2 : coefT := coefT(TO_SIGNED(-25,Coef_SZ)); +-- +-- +--constant a0_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a0_1 : coefT := coefT(TO_SIGNED(189,Coef_SZ)); +--constant a0_2 : coefT := coefT(TO_SIGNED(-111,Coef_SZ)); +-- +--constant a1_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a1_1 : coefT := coefT(TO_SIGNED(162,Coef_SZ)); +--constant a1_2 : coefT := coefT(TO_SIGNED(-81,Coef_SZ)); +-- +--constant a2_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a2_1 : coefT := coefT(TO_SIGNED(136,Coef_SZ)); +--constant a2_2 : coefT := coefT(TO_SIGNED(-55,Coef_SZ)); +-- +--constant a3_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a3_1 : coefT := coefT(TO_SIGNED(114,Coef_SZ)); +--constant a3_2 : coefT := coefT(TO_SIGNED(-33,Coef_SZ)); +-- +--constant a4_0 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a4_1 : coefT := coefT(TO_SIGNED(100,Coef_SZ)); +--constant a4_2 : coefT := coefT(TO_SIGNED(-20,Coef_SZ)); +-- +--constant a5_0 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); +--constant a5_1 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a5_2 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); +--constant a6_0 : coefT := coefT(TO_SIGNED(60,Coef_SZ)); +--constant a6_1 : coefT := coefT(TO_SIGNED(-128,Coef_SZ)); +--constant a6_2 : coefT := coefT(TO_SIGNED(87,Coef_SZ)); +-- +-- +--constant celb0 : coef_celT := (b0_0,b0_1,b0_2); +--constant celb1 : coef_celT := (b1_0,b1_1,b1_2); +--constant celb2 : coef_celT := (b2_0,b2_1,b2_2); +--constant celb3 : coef_celT := (b3_0,b3_1,b3_2); +--constant celb4 : coef_celT := (b4_0,b4_1,b4_2); +--constant celb5 : coef_celT := (b5_0,b5_1,b5_2); +--constant celb6 : coef_celT := (b6_0,b6_1,b6_2); +-- +--constant cela0 : coef_celT := (a0_0,a0_1,a0_2); +--constant cela1 : coef_celT := (a1_0,a1_1,a1_2); +--constant cela2 : coef_celT := (a2_0,a2_1,a2_2); +--constant cela3 : coef_celT := (a3_0,a3_1,a3_2); +--constant cela4 : coef_celT := (a4_0,a4_1,a4_2); +--constant cela5 : coef_celT := (a5_0,a5_1,a5_2); +--constant cela6 : coef_celT := (a6_0,a6_1,a6_2); +-- +-- +-- +--constant NumCoefs_cel : coefs_celT(0 to Cels_count-1) := (celb0,celb1,celb2,celb3,celb4); +--constant DenCoefs_cel : coefs_celT(0 to Cels_count-1) := (cela0,cela1,cela2,cela3,cela4); +--constant virgPos : integer := 7; +-- +-- +-- +-- +-- +-- +-- +--signal NumeratorCoefs : coefsT(0 to 6) := (b0,b1,b2,b3,b4,b5,b6); +--signal DenominatorCoefs : coefsT(0 to 4) := (a0,a1,a2,a3,a4); +-- +-- +--signal sample_Tbl : samplT; end; diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd --- a/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_CTRLR.vhd @@ -20,26 +20,32 @@ -- This file is a part of the LPP VHDL IP LIBRARY -- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS -- + library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; library lpp; use lpp.iir_filter.all; -use lpp.FILTERcfg.all; use lpp.general_purpose.all; ---TODO améliorer la gestion de la RAM et de la flexibilité du filtre +--TODO amliorer la gestion de la RAM et de la flexibilit du filtre entity IIR_CEL_CTRLR is -generic(Sample_SZ : integer := 16); +generic(Sample_SZ : integer := 16; + ChanelsCount : integer := 1; + Coef_SZ : integer := 9; + CoefCntPerCel: integer := 3; + Cels_count : integer := 5; + Mem_use : integer := use_RAM +); port( reset : in std_logic; clk : in std_logic; sample_clk : in std_logic; - sample_in : in samplT; - sample_out : out samplT; + sample_in : in samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + sample_out : out samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); virg_pos : in integer; - coefs : in coefs_celsT + coefs : in std_logic_vector(Coef_SZ*CoefCntPerCel*Cels_count-1 downto 0) ); end IIR_CEL_CTRLR; @@ -48,6 +54,8 @@ end IIR_CEL_CTRLR; architecture ar_IIR_CEL_CTRLR of IIR_CEL_CTRLR is +subtype sampleVect is std_logic_vector(Sample_SZ-1 downto 0); + signal smpl_clk_old : std_logic := '0'; signal WD_sel : std_logic := '0'; signal Read : std_logic := '0'; @@ -57,20 +65,31 @@ signal Write : std_logic := signal WADDR_sel : std_logic := '0'; signal GO_0 : std_logic := '0'; -signal RAM_sample_in : std_logic_vector(Sample_SZ-1 downto 0); -signal RAM_sample_in_bk: std_logic_vector(Sample_SZ-1 downto 0); -signal RAM_sample_out : std_logic_vector(Sample_SZ-1 downto 0); +signal RAM_sample_in : sampleVect; +signal RAM_sample_in_bk: sampleVect; +signal RAM_sample_out : sampleVect; signal ALU_ctrl : std_logic_vector(3 downto 0); -signal ALU_sample_in : std_logic_vector(Sample_SZ-1 downto 0); +signal ALU_sample_in : sampleVect; signal ALU_Coef_in : std_logic_vector(Coef_SZ-1 downto 0); signal ALU_out : std_logic_vector(Sample_SZ+Coef_SZ-1 downto 0); signal curentCel : integer range 0 to Cels_count-1 := 0; -signal curentChan : integer range 0 to ChanelsCNT-1 := 0; - -signal sample_in_BUFF : samplT; -signal sample_out_BUFF : samplT; +signal curentChan : integer range 0 to ChanelsCount-1 := 0; +type sampleBuffT is array(ChanelsCount-1 downto 0) of sampleVect; + +signal sample_in_BUFF : sampleBuffT; +signal sample_out_BUFF : sampleBuffT; + +type CoefCelT is array(CoefCntPerCel-1 downto 0) of std_logic_vector(Coef_SZ-1 downto 0); +type CoefTblT is array(Cels_count-1 downto 0) of CoefCelT; + +type CoefsRegT is record + numCoefs : CoefTblT; + denCoefs : CoefTblT; +end record; + +signal CoefsReg : CoefsRegT; type fsmIIR_CEL_T is (waiting,pipe1,computeb1,computeb2,computea1,computea2,next_cel,pipe2,pipe3,next_chan); @@ -79,11 +98,18 @@ signal IIR_CEL_STATE : fsmIIR_CEL_T begin - +coefsConnectL0: for z in 0 to Cels_count-1 generate + coefsConnectL1: for y in 0 to CoefCntPerCel-1 generate + coefsConnectL2: for x in 0 to Coef_SZ-1 generate + CoefsReg.numCoefs(z)(y)(x) <= coefs(x + y*Coef_SZ + z*Coef_SZ*CoefCntPerCel); + CoefsReg.denCoefs(z)(y)(x) <= coefs(x + y*Coef_SZ + z*Coef_SZ*CoefCntPerCel); + end generate; + end generate; +end generate; RAM_CTRLR2inst : RAM_CTRLR2 -generic map(Input_SZ_1 => Sample_SZ) +generic map(Sample_SZ,Mem_use) port map( reset => reset, clk => clk, @@ -148,10 +174,12 @@ if reset = '0' then curentCel <= 0; curentChan <= 0; IIR_CEL_STATE <= waiting; -reset : for i in 0 to ChanelsCNT-1 loop +resetL0 : for i in 0 to ChanelsCount-1 loop sample_in_BUFF(i) <= (others => '0'); sample_out_BUFF(i) <= (others => '0'); - sample_out(i) <= (others => '0'); + resetL1: for j in 0 to Sample_SZ-1 loop + sample_out(i,j) <= '0'; + end loop; end loop; elsif clk'event and clk = '1' then @@ -163,14 +191,17 @@ elsif clk'event and clk = '1' then when waiting => if sample_clk = '1' and smpl_clk_old = '0' then IIR_CEL_STATE <= pipe1; - RAM_sample_in <= sample_in_BUFF(0); - ALU_sample_in <= sample_in_BUFF(0); + RAM_sample_in <= std_logic_vector(sample_in_BUFF(0)); + ALU_sample_in <= std_logic_vector(sample_in_BUFF(0)); else - ALU_ctrl <= IDLE; - sample_in_BUFF <= sample_in; - sample_out <= sample_out_BUFF; - + ALU_ctrl <= IDLE; + smplConnectL0: for i in 0 to ChanelsCount-1 loop + smplConnectL1: for j in 0 to Sample_SZ-1 loop + sample_in_BUFF(i)(j) <= sample_in(i,j); + sample_out(i,j) <= sample_out_BUFF(i)(j); + end loop; + end loop; end if; curentCel <= 0; curentChan <= 0; @@ -178,30 +209,30 @@ elsif clk'event and clk = '1' then when pipe1 => IIR_CEL_STATE <= computeb1; ALU_ctrl <= MAC_op; - ALU_Coef_in <= std_logic_vector(coefs.NumCoefs(curentCel)(0)); + ALU_Coef_in <= std_logic_vector(CoefsReg.NumCoefs(curentCel)(0)); when computeb1 => ALU_ctrl <= MAC_op; ALU_sample_in <= RAM_sample_out; - ALU_Coef_in <= std_logic_vector(coefs.NumCoefs(curentCel)(1)); + ALU_Coef_in <= std_logic_vector(CoefsReg.NumCoefs(curentCel)(1)); IIR_CEL_STATE <= computeb2; RAM_sample_in <= RAM_sample_in_bk; when computeb2 => ALU_sample_in <= RAM_sample_out; - ALU_Coef_in <= std_logic_vector(coefs.NumCoefs(curentCel)(2)); + ALU_Coef_in <= std_logic_vector(CoefsReg.NumCoefs(curentCel)(2)); IIR_CEL_STATE <= computea1; when computea1 => ALU_sample_in <= RAM_sample_out; - ALU_Coef_in <= std_logic_vector(coefs.DenCoefs(curentCel)(1)); + ALU_Coef_in <= std_logic_vector(CoefsReg.DenCoefs(curentCel)(1)); IIR_CEL_STATE <= computea2; when computea2 => ALU_sample_in <= RAM_sample_out; - ALU_Coef_in <= std_logic_vector(coefs.DenCoefs(curentCel)(2)); + ALU_Coef_in <= std_logic_vector(CoefsReg.DenCoefs(curentCel)(2)); IIR_CEL_STATE <= next_cel; @@ -230,17 +261,17 @@ elsif clk'event and clk = '1' then end if; when next_chan => -rotate : for i in 0 to ChanelsCNT-2 loop - sample_in_BUFF(i) <= sample_in_BUFF(i+1); - sample_out_BUFF(i) <= sample_out_BUFF(i+1); +rotate : for i in 1 to ChanelsCount-1 loop + sample_in_BUFF(i-1) <= sample_in_BUFF(i); + sample_out_BUFF(i-1) <= sample_out_BUFF(i); end loop; - sample_in_BUFF(ChanelsCNT-1) <= sample_in_BUFF(0); - sample_out_BUFF(ChanelsCNT-1)<= sample_out_BUFF(0); + sample_in_BUFF(ChanelsCount-1) <= sample_in_BUFF(0); + sample_out_BUFF(ChanelsCount-1)<= sample_out_BUFF(0); - if curentChan = (ChanelsCNT-1) then + if curentChan = (ChanelsCount-1) then IIR_CEL_STATE <= waiting; ALU_ctrl <= clr_mac; - else + elsif ChanelsCount>1 then curentChan <= curentChan + 1; IIR_CEL_STATE <= pipe1; ALU_sample_in <= sample_in_BUFF(1); diff --git a/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd --- a/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd +++ b/lib/lpp/dsp/iir_filter/IIR_CEL_FILTER.vhd @@ -21,21 +21,26 @@ use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; library lpp; use lpp.iir_filter.all; -use lpp.FILTERcfg.all; use lpp.general_purpose.all; ---TODO améliorer la gestion de la RAM et de la flexibilité du filtre +--TODO amliorer la gestion de la RAM et de la flexibilit du filtre entity IIR_CEL_FILTER is -generic(Sample_SZ : integer := 16); +generic(Sample_SZ : integer := 16; + ChanelsCount : integer := 1; + Coef_SZ : integer := 9; + CoefCntPerCel: integer := 3; + Cels_count : integer := 5; + Mem_use : integer := use_RAM); port( reset : in std_logic; clk : in std_logic; sample_clk : in std_logic; regs_in : in in_IIR_CEL_reg; regs_out : in out_IIR_CEL_reg; - sample_in : in samplT; - sample_out : out samplT + sample_in : in samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + sample_out : out samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + coefs : in std_logic_vector(Coef_SZ*CoefCntPerCel*Cels_count-1 downto 0) ); end IIR_CEL_FILTER; @@ -50,9 +55,8 @@ begin virg_pos <= to_integer(unsigned(regs_in.virgPos)); - CTRLR : IIR_CEL_CTRLR -generic map (Sample_SZ => Sample_SZ) +generic map (Sample_SZ,ChanelsCount,Coef_SZ,CoefCntPerCel,Cels_count,Mem_use) port map( reset => reset, clk => clk, @@ -60,7 +64,7 @@ port map( sample_in => sample_in, sample_out => sample_out, virg_pos => virg_pos, - coefs => regs_in.coefsTB + coefs => coefs ); diff --git a/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd --- a/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd +++ b/lib/lpp/dsp/iir_filter/RAM_CTRLR2.vhd @@ -24,11 +24,12 @@ use lpp.iir_filter.all; use lpp.FILTERcfg.all; use lpp.general_purpose.all; ---TODO améliorer la flexibilité de la config de la RAM. +--TODO amliorer la flexibilit de la config de la RAM. entity RAM_CTRLR2 is generic( - Input_SZ_1 : integer := 16 + Input_SZ_1 : integer := 16; + Mem_use : integer := use_RAM ); port( reset : in std_logic; @@ -62,7 +63,7 @@ signal WADDR_D : std_logic_vector begin -sample_out <= RD(Smpl_SZ-1 downto 0); +sample_out <= RD(Input_SZ_1-1 downto 0); WEN <= not Write; @@ -115,12 +116,12 @@ port map( MUX2_inst1 :MUX2 -generic map(Input_SZ => Smpl_SZ) +generic map(Input_SZ => Input_SZ_1) port map( sel => WD_sel, IN1 => sample_in, - IN2 => RD(Smpl_SZ-1 downto 0), - RES => WD(Smpl_SZ-1 downto 0) + IN2 => RD(Input_SZ_1-1 downto 0), + RES => WD(Input_SZ_1-1 downto 0) ); @@ -155,12 +156,12 @@ port map( ); WDRreg :REG -generic map(size => Smpl_SZ) +generic map(size => Input_SZ_1) port map( reset => reset, clk => clk, - D => WD(Smpl_SZ-1 downto 0), - Q => WD_D(Smpl_SZ-1 downto 0) + D => WD(Input_SZ_1-1 downto 0), + Q => WD_D(Input_SZ_1-1 downto 0) ); diff --git a/lib/lpp/dsp/iir_filter/iir_filter.vhd b/lib/lpp/dsp/iir_filter/iir_filter.vhd --- a/lib/lpp/dsp/iir_filter/iir_filter.vhd +++ b/lib/lpp/dsp/iir_filter/iir_filter.vhd @@ -24,12 +24,51 @@ use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library lpp; -use lpp.FILTERcfg.all; + package iir_filter is + +--===========================================================| +--================A L U C O N T R O L======================| +--===========================================================| +constant IDLE : std_logic_vector(3 downto 0) := "0000"; +constant MAC_op : std_logic_vector(3 downto 0) := "0001"; +constant MULT : std_logic_vector(3 downto 0) := "0010"; +constant ADD : std_logic_vector(3 downto 0) := "0011"; +constant clr_mac : std_logic_vector(3 downto 0) := "0100"; + +--____ +--RAM | +--____| +constant use_RAM : integer := 1; +constant use_CEL : integer := 0; + + +--===========================================================| +--=============C O E F S ====================================| +--===========================================================| +-- create a specific type of data for coefs to avoid errors | +--===========================================================| + +type scaleValT is array(natural range <>) of integer; + +type samplT is array(natural range <>,natural range <>) of std_logic; + +type in_IIR_CEL_reg is record + config : std_logic_vector(31 downto 0); + virgPos : std_logic_vector(4 downto 0); +end record; + +type out_IIR_CEL_reg is record + config : std_logic_vector(31 downto 0); + status : std_logic_vector(31 downto 0); +end record; + + + component APB_IIR_CEL is generic ( pindex : integer := 0; @@ -37,7 +76,13 @@ component APB_IIR_CEL is pmask : integer := 16#fff#; pirq : integer := 0; abits : integer := 8; - Sample_SZ : integer := Smpl_SZ + Sample_SZ : integer := 16; + ChanelsCount : integer := 1; + Coef_SZ : integer := 9; + CoefCntPerCel: integer := 3; + Cels_count : integer := 5; + virgPos : integer := 3; + Mem_use : integer := use_RAM ); port ( rst : in std_logic; @@ -46,63 +91,72 @@ component APB_IIR_CEL is apbo : out apb_slv_out_type; sample_clk : in std_logic; sample_clk_out : out std_logic; - sample_in : in samplT; - sample_out : out samplT + sample_in : in samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + sample_out : out samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0) ); end component; -component FILTER is -port( - - reset : in std_logic; - clk : in std_logic; - sample_clk : in std_logic; - Sample_IN : in std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0); - Sample_OUT : out std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0) -); -end component; +--component FILTER is +--generic(Smpl_SZ : integer := 16; +-- ChanelsCNT : integer := 3 +--); +--port( +-- +-- reset : in std_logic; +-- clk : in std_logic; +-- sample_clk : in std_logic; +-- Sample_IN : in std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0); +-- Sample_OUT : out std_logic_vector(Smpl_SZ*ChanelsCNT-1 downto 0) +--); +--end component; -component FilterCTRLR is +--component FilterCTRLR is +--port( +-- reset : in std_logic; +-- clk : in std_logic; +-- sample_clk : in std_logic; +-- ALU_Ctrl : out std_logic_vector(3 downto 0); +-- sample_in : in samplT; +-- coef : out std_logic_vector(Coef_SZ-1 downto 0); +-- sample : out std_logic_vector(Smpl_SZ-1 downto 0) +--); +--end component; + + +--component FILTER_RAM_CTRLR is +--port( +-- reset : in std_logic; +-- clk : in std_logic; +-- run : in std_logic; +-- GO_0 : in std_logic; +-- B_A : in std_logic; +-- writeForce : in std_logic; +-- next_blk : in std_logic; +-- sample_in : in std_logic_vector(Smpl_SZ-1 downto 0); +-- sample_out : out std_logic_vector(Smpl_SZ-1 downto 0) +--); +--end component; + + +component IIR_CEL_CTRLR is +generic(Sample_SZ : integer := 16; + ChanelsCount : integer := 1; + Coef_SZ : integer := 9; + CoefCntPerCel: integer := 3; + Cels_count : integer := 5; + Mem_use : integer := use_RAM +); port( reset : in std_logic; clk : in std_logic; sample_clk : in std_logic; - ALU_Ctrl : out std_logic_vector(3 downto 0); - sample_in : in samplT; - coef : out std_logic_vector(Coef_SZ-1 downto 0); - sample : out std_logic_vector(Smpl_SZ-1 downto 0) -); -end component; - - -component FILTER_RAM_CTRLR is -port( - reset : in std_logic; - clk : in std_logic; - run : in std_logic; - GO_0 : in std_logic; - B_A : in std_logic; - writeForce : in std_logic; - next_blk : in std_logic; - sample_in : in std_logic_vector(Smpl_SZ-1 downto 0); - sample_out : out std_logic_vector(Smpl_SZ-1 downto 0) -); -end component; - - -component IIR_CEL_CTRLR is -generic(Sample_SZ : integer := 16); -port( - reset : in std_logic; - clk : in std_logic; - sample_clk : in std_logic; - sample_in : in samplT; - sample_out : out samplT; + sample_in : in samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + sample_out : out samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); virg_pos : in integer; - coefs : in coefs_celsT + coefs : in std_logic_vector(Coef_SZ*CoefCntPerCel*Cels_count-1 downto 0) ); end component; @@ -125,15 +179,21 @@ component RAM_CEL is end component; component IIR_CEL_FILTER is -generic(Sample_SZ : integer := 16); +generic(Sample_SZ : integer := 16; + ChanelsCount : integer := 1; + Coef_SZ : integer := 9; + CoefCntPerCel: integer := 3; + Cels_count : integer := 5; + Mem_use : integer := use_RAM); port( reset : in std_logic; clk : in std_logic; sample_clk : in std_logic; regs_in : in in_IIR_CEL_reg; regs_out : in out_IIR_CEL_reg; - sample_in : in samplT; - sample_out : out samplT + sample_in : in samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + sample_out : out samplT(ChanelsCount-1 downto 0,Sample_SZ-1 downto 0); + coefs : in std_logic_vector(Coef_SZ*CoefCntPerCel*Cels_count-1 downto 0) ); end component; @@ -141,7 +201,8 @@ end component; component RAM_CTRLR2 is generic( - Input_SZ_1 : integer := 16 + Input_SZ_1 : integer := 16; + Mem_use : integer := use_RAM ); port( reset : in std_logic; diff --git a/lib/lpp/dsp/iir_filter/vhdlsyn.txt b/lib/lpp/dsp/iir_filter/vhdlsyn.txt --- a/lib/lpp/dsp/iir_filter/vhdlsyn.txt +++ b/lib/lpp/dsp/iir_filter/vhdlsyn.txt @@ -1,12 +1,12 @@ APB_IIR_CEL.vhd -FILTER.vhd -FILTER_RAM_CTRLR.vhd FILTERcfg.vhd FilterCTRLR.vhd +FILTER_RAM_CTRLR.vhd +FILTER.vhd IIR_CEL_CTRLR.vhd IIR_CEL_FILTER.vhd -RAM.vhd +iir_filter.vhd RAM_CEL.vhd RAM_CTRLR2.vhd +RAM.vhd Top_Filtre_IIR.vhd -iir_filter.vhd diff --git a/lib/lpp/general_purpose/ALU.vhd b/lib/lpp/general_purpose/ALU.vhd --- a/lib/lpp/general_purpose/ALU.vhd +++ b/lib/lpp/general_purpose/ALU.vhd @@ -61,33 +61,11 @@ clr_MAC <= '1' when ctrl = "0100 arith : if Arith_en = 1 generate - - MACinst : MAC -generic map( - Input_SZ_A => Input_SZ_1, - Input_SZ_B => Input_SZ_2 - -) -port map( - clk => clk, - reset => reset, - clr_MAC => clr_MAC, - MAC_MUL_ADD => ctrl(1 downto 0), - OP1 => OP1, - OP2 => OP2, - RES => RES -); - +generic map(Input_SZ_1,Input_SZ_2) +port map(clk,reset,clr_MAC,ctrl(1 downto 0),OP1,OP2,RES); end generate; -process(clk,reset) -begin -if reset = '0' then -elsif clk'event and clk ='1' then - -end if; -end process; end architecture; diff --git a/lib/lpp/general_purpose/vhdlsyn.txt b/lib/lpp/general_purpose/vhdlsyn.txt --- a/lib/lpp/general_purpose/vhdlsyn.txt +++ b/lib/lpp/general_purpose/vhdlsyn.txt @@ -1,14 +1,14 @@ +Adder.vhd ADDRcntr.vhd ALU.vhd -Adder.vhd Clk_divider.vhd -MAC.vhd +general_purpose.vhd MAC_CONTROLER.vhd +MAC_MUX2.vhd MAC_MUX.vhd -MAC_MUX2.vhd MAC_REG.vhd +MAC.vhd +Multiplier.vhd MUX2.vhd -Multiplier.vhd REG.vhd Shifter.vhd -general_purpose.vhd diff --git a/lib/lpp/lpp_amba/vhdlsyn.txt b/lib/lpp/lpp_amba/vhdlsyn.txt --- a/lib/lpp/lpp_amba/vhdlsyn.txt +++ b/lib/lpp/lpp_amba/vhdlsyn.txt @@ -1,4 +1,5 @@ -APB_CHENILLARD.vhd APB_MULTI_DIODE.vhd +APB_MULTI_DIODE.vhd.orig APB_SIMPLE_DIODE.vhd +APB_SIMPLE_DIODE.vhd.orig lpp_amba.vhd diff --git a/lib/lpp/lpp_uart/APB_UART.vhd b/lib/lpp/lpp_uart/APB_UART.vhd --- a/lib/lpp/lpp_uart/APB_UART.vhd +++ b/lib/lpp/lpp_uart/APB_UART.vhd @@ -72,8 +72,8 @@ signal Rdata : std_logic_vector(31 d begin Capture <= Rec.UART_Cfg(0); -ACK <= Rec.UART_Cfg(1); -Send <= Rec.UART_Cfg(2); +--ACK <= Rec.UART_Cfg(1); +--Send <= Rec.UART_Cfg(2); Rec.UART_Cfg(3) <= Sended; Rec.UART_Cfg(4) <= NwData; @@ -94,30 +94,36 @@ Rec.UART_Cfg(4) <= NwData; --APB Write OP if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then - case apbi.paddr(abits-1 downto 2) is + case apbi.paddr(7 downto 2) is when "000000" => Rec.UART_Cfg(2 downto 0) <= apbi.pwdata(2 downto 0); when "000001" => Rec.UART_Wdata <= apbi.pwdata(7 downto 0); + Send <= '1'; when others => null; end case; + else + Send <= '0'; end if; --APB READ OP if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then - case apbi.paddr(abits-1 downto 2) is + case apbi.paddr(7 downto 2) is when "000000" => - Rdata(31 downto 27) <= Rec.UART_Cfg; + Rdata(4 downto 0) <= Rec.UART_Cfg; Rdata(26 downto 12) <= (others => '0'); - Rdata(11 downto 0) <= Rec.UART_BTrig; + Rdata(27 downto 16) <= Rec.UART_BTrig; when "000001" => Rdata(7 downto 0) <= Rec.UART_Wdata; when "000010" => Rdata(7 downto 0) <= Rec.UART_Rdata; + Ack <= '1'; when others => Rdata <= (others => '0'); end case; + else + Ack <= '0'; end if; end if; diff --git a/lib/lpp/lpp_uart/Shift_REG.vhd b/lib/lpp/lpp_uart/Shift_REG.vhd --- a/lib/lpp/lpp_uart/Shift_REG.vhd +++ b/lib/lpp/lpp_uart/Shift_REG.vhd @@ -34,7 +34,6 @@ port( Serialized : out std_logic; D : in std_logic_vector(Data_sz-1 downto 0); Q : out std_logic_vector(Data_sz-1 downto 0) - ); end entity; @@ -100,7 +99,6 @@ begin else CptBits <= '1' & CptBits(Data_sz-1 downto 1); end if; - else CptBits <= (others => '0'); end if; diff --git a/lib/lpp/lpp_uart/UART.vhd b/lib/lpp/lpp_uart/UART.vhd --- a/lib/lpp/lpp_uart/UART.vhd +++ b/lib/lpp/lpp_uart/UART.vhd @@ -55,6 +55,7 @@ signal TXD_Dummy : std_logic; signal NwDat_int : std_logic; signal NwDat_int_reg : std_logic; signal receive : std_logic; +constant zeroVect : std_logic_vector(Data_sz+1 downto 0) := (others => '0'); begin @@ -68,7 +69,7 @@ BaudGenerator : BaudGen RX_REG : Shift_REG generic map(Data_sz+2) - port map(clk,Bclk,reset,RXD,TXD_Dummy,receive,NwDat_int,(others => '0'),RDATA_int); + port map(clk,Bclk,reset,RXD,TXD_Dummy,receive,NwDat_int,zeroVect,RDATA_int); TX_REG : Shift_REG generic map(Data_sz+2)