diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/AD7688_drvr.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/AD7688_drvr.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/AD7688_drvr.prj @@ -0,0 +1,5 @@ +vhdl lpp "../../lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd" +vhdl lpp "../../lib/lpp/general_purpose/general_purpose.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd" +vhdl lpp "../../lib/lpp/general_purpose/Clk_divider.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/cdb/env.tcl +++ /dev/null @@ -1,214 +0,0 @@ -# GRLIB Makefile generated settings -set design leon3mp -set pnc -set device -set package -set top_hdl - -### Project Settings -# -# The parameters in this section are for documentation purposes mostly -# and can be changed by the user without affecting synthesis results -# Multi-word strings (e.g. eASIC Corp) must be enwrapped in double -# quotes, so "eASIC Corp." - -# project: string; Project name -set project "leon3" - -# company: string; Company name -set company "gaisler" - -# designer: string; Designer name -set designer "" - -# email: string; Designer's email address -set email "${designer}@${company}.com" - -# email_notification: enumerated [on,off] -# When 'on' CDB sends an email to the designer's email address -# with the status of the last run and the log file attached -set email_notification off - - -### Design -# -# The parameters in this section define the eASIC Structured ASIC -# the design will be implemented on - -# pnc: number; Part Number Code, unique project identifier -# provided by eASIC -#set pnc 50123 - -# design: string; Top Level name -#set design leon3mp - -# device: enumerated [NX750,NX1500,NX2500,NX4000,NX5000] -# Device selects the eASIC Structured ASIC platform -#set device NX1500 - -# package: string; package for selected device -# -#set package FC480 - -# device_type: enumerated [sl,vl] -# sl: SRAM configured Lookup table device -# vl: Via configured Lookup table device -set device_type sl - -# technology; enumerated [std,hp] -# std: 1.2V standard device -# hp : 1.3V high performance device -set technology std - - -### Flow -# -# The parameters in this section provide various options -# to guide the synthesis flow - -# fsm_optimization: enumerated [on,off] -# fsm_encoding : enumerated [auto,binary,gray,one_hot] -# These parameters turn on/off Finite State Machine recoding with the -# method defined by 'fsm_encoding'. -# Turning on this option can result in smaller and/or faster FSM -# implementations, but may lead to formal verification errors -set fsm_optimization off -set fsm_encoding auto - -# boolean_mapper: enumerated [on,off] -# Turn on/off Magma boolean mapper technology -# Turning on this option generally yields a smaller and faster design -set boolean_mapper on - -# use_rtbuf: enumerated [on,off] -# Turn on/off long net buffering using high-drive buffers (rtbuf) -# Setting use_rtbuf to 'off' disables 'fix fj90 rtbuf' -set use_rtbuf on - -# effort: enumerated [low,medium,high]; (area) synthesis effort -set effort medium - -# timing_effort: enumerated [low,medium,high]; timing effort -set timing_effort medium - -# timing_slack: real; initial positive timing slack target -set timing_slack 1n - -# clock_effort: enumerated [low,high] -# Should be set to 'low' for 2008 Magma releases, can be set to 'high' for older releases -set clock_effort low - -# utilization: real; area utilization -# Maximum area utilization during placement. Typical values range -# from 0.7 to 1.0. Lower values may improve timing or relax placement -# effort, but lead to less area efficient implementations. -set utilization 0.8 - -# clone_ff: enumerated [on,off] -# Turn on/off replication of flipflops to drive large loads. -# It is recommended to set this parameter to 'on'. -# Set it to 'off' if encountering formal verification issues. -set clone_ff on - -# fanout_limit: integer; -# fanout_strict: enumerated [strict,noworse] -# Sets the maximum fanout per cell (fanout_limit) and how the -# synthesis tool resolves the fanout; always buffer if the load is -# higher than the fanout (strict), or only buffer if the load is -# higher than the fanout AND buffering doesn't affect timing (noworse) -set fanout_limit 10 -set fanout_strict strict - -# timing_paths: integer -# Sets the number of timing paths reported during the various timing -# analysis reports -set timing_paths 10 - - -### Directories -# -# The parameters in this section set multiple directories. -# There should be no need to change any of the following parameters - -# proj_rootdir: string -# Sets the path to the project root, as seen from the 'run' directory -set proj_rootdir ../../.. - -# srcdir: string -# Sets the directory containing user files (e.g. design and constraints) -# This typically points to 'src' -set srcdir $proj_rootdir/src - -# rtldir: string -# Sets the directory containing RTL files -# This typically points to 'src/rtl' -set rtldir $srcdir/rtl - -# constraintsdir: string -# Sets the directory containing design constraints (.sdc, .pad) files -# This typically points to 'src/constraints' -set constraintsdir $srcdir/constraints - -# snap: enumerated [on|off] -# Enables or disabled Magma synthesis snap-shot generation. -# snap must be on if the CDB 'start_at' option is to be used. -set snap on - -# volcano_compression: enumerated [none,min,med,max] -# Sets the Magma library volcano compression level -set volcano_compression none - - -### Constraints -# -# The parameters in this section set/point to synthesis constraints - -# pad_file: string -# Points to an eWizard generated file containing pad and macro placement commands -# Typically points to 'src/constraints/.pad -set pad_file $constraintsdir/${design}.pad - -# sdc_file: string -# Points to a user generated file containing timing constraints in -# Synopsys Design Constraints (sdc) format. -# Typically points to 'src/constraints/.sdc -set sdc_file $constraintsdir/${design}.sdc - -# verilog2k: enumerated [on|off] -# Enables/disabled Verilog2001 support -set verilog2k on - -# undriven: enumerated [0,1,X,U,reset] -# Sets the physical synthesis tool's behaviour with regards to undriven -# pins. By default this is set to 'U', meaning leave undriven pins -# floating so they can be detected and fixed in RTL. -set undriven U - -# topfile: string -# The name of the file containing the top level RTL module -#set topfile $rtldir/ -#if {[regexp {\.v$} $topfile]} {set top_hdl verilog} else {set top_hdl vhdl} - - -### Design files -# -set includeList {} -set defineList {} -set netlistList {} -set vhdllibList {} -set read_netlist {} -set read_rtl {} -set read_plan {} - -# GRLIB Makefile generated HDL list -set vhdlList { -{grlib ../../../../../../lib/grlib/stdlib/version.vhd ../../../../../../lib/grlib/stdlib/config.vhd ../../../../../../lib/grlib/stdlib/stdlib.vhd ../../../../../../lib/grlib/sparc/sparc.vhd ../../../../../../lib/grlib/sparc/sparc_disas.vhd ../../../../../../lib/grlib/sparc/cpu_disas.vhd ../../../../../../lib/grlib/modgen/multlib.vhd ../../../../../../lib/grlib/modgen/leaves.vhd ../../../../../../lib/grlib/amba/amba.vhd ../../../../../../lib/grlib/amba/devices.vhd ../../../../../../lib/grlib/amba/defmst.vhd ../../../../../../lib/grlib/amba/apbctrl.vhd ../../../../../../lib/grlib/amba/ahbctrl.vhd ../../../../../../lib/grlib/amba/dma2ahb_pkg.vhd ../../../../../../lib/grlib/amba/dma2ahb.vhd} -{techmap ../../../../../../lib/techmap/gencomp/gencomp.vhd ../../../../../../lib/techmap/gencomp/netcomp.vhd ../../../../../../lib/techmap/inferred/memory_inferred.vhd ../../../../../../lib/techmap/inferred/ddr_inferred.vhd ../../../../../../lib/techmap/inferred/mul_inferred.vhd ../../../../../../lib/techmap/inferred/ddr_phy_inferred.vhd ../../../../../../lib/techmap/dw02/mul_dw_gen.vhd ../../../../../../lib/techmap/maps/allclkgen.vhd ../../../../../../lib/techmap/maps/allddr.vhd ../../../../../../lib/techmap/maps/allmem.vhd ../../../../../../lib/techmap/maps/allpads.vhd ../../../../../../lib/techmap/maps/alltap.vhd ../../../../../../lib/techmap/maps/clkgen.vhd ../../../../../../lib/techmap/maps/clkmux.vhd ../../../../../../lib/techmap/maps/clkand.vhd ../../../../../../lib/techmap/maps/ddr_ireg.vhd ../../../../../../lib/techmap/maps/ddr_oreg.vhd ../../../../../../lib/techmap/maps/ddrphy.vhd ../../../../../../lib/techmap/maps/syncram.vhd ../../../../../../lib/techmap/maps/syncram64.vhd ../../../../../../lib/techmap/maps/syncram_2p.vhd ../../../../../../lib/techmap/maps/syncram_dp.vhd ../../../../../../lib/techmap/maps/syncfifo.vhd ../../../../../../lib/techmap/maps/regfile_3p.vhd ../../../../../../lib/techmap/maps/tap.vhd ../../../../../../lib/techmap/maps/techbuf.vhd ../../../../../../lib/techmap/maps/nandtree.vhd ../../../../../../lib/techmap/maps/clkpad.vhd ../../../../../../lib/techmap/maps/clkpad_ds.vhd ../../../../../../lib/techmap/maps/inpad.vhd ../../../../../../lib/techmap/maps/inpad_ds.vhd ../../../../../../lib/techmap/maps/iodpad.vhd ../../../../../../lib/techmap/maps/iopad.vhd ../../../../../../lib/techmap/maps/iopad_ds.vhd ../../../../../../lib/techmap/maps/lvds_combo.vhd ../../../../../../lib/techmap/maps/odpad.vhd ../../../../../../lib/techmap/maps/outpad.vhd ../../../../../../lib/techmap/maps/outpad_ds.vhd ../../../../../../lib/techmap/maps/toutpad.vhd ../../../../../../lib/techmap/maps/skew_outpad.vhd ../../../../../../lib/techmap/maps/grspwc_net.vhd ../../../../../../lib/techmap/maps/grspwc2_net.vhd ../../../../../../lib/techmap/maps/grlfpw_net.vhd ../../../../../../lib/techmap/maps/grfpw_net.vhd ../../../../../../lib/techmap/maps/mul_61x61.vhd ../../../../../../lib/techmap/maps/cpu_disas_net.vhd ../../../../../../lib/techmap/maps/ringosc.vhd ../../../../../../lib/techmap/maps/system_monitor.vhd ../../../../../../lib/techmap/maps/grgates.vhd ../../../../../../lib/techmap/maps/inpad_ddr.vhd ../../../../../../lib/techmap/maps/outpad_ddr.vhd ../../../../../../lib/techmap/maps/iopad_ddr.vhd ../../../../../../lib/techmap/maps/syncram128bw.vhd ../../../../../../lib/techmap/maps/syncram128.vhd ../../../../../../lib/techmap/maps/syncram156bw.vhd} -{eth ../../../../../../lib/eth/comp/ethcomp.vhd ../../../../../../lib/eth/core/greth_pkg.vhd ../../../../../../lib/eth/core/eth_rstgen.vhd ../../../../../../lib/eth/core/eth_ahb_mst.vhd ../../../../../../lib/eth/core/greth_tx.vhd ../../../../../../lib/eth/core/greth_rx.vhd ../../../../../../lib/eth/core/grethc.vhd ../../../../../../lib/eth/wrapper/greth_gen.vhd ../../../../../../lib/eth/wrapper/greth_gbit_gen.vhd} -{gaisler ../../../../../../lib/gaisler/arith/arith.vhd ../../../../../../lib/gaisler/arith/mul32.vhd ../../../../../../lib/gaisler/arith/div32.vhd ../../../../../../lib/gaisler/memctrl/memctrl.vhd ../../../../../../lib/gaisler/memctrl/sdctrl.vhd ../../../../../../lib/gaisler/memctrl/sdctrl64.vhd ../../../../../../lib/gaisler/memctrl/sdmctrl.vhd ../../../../../../lib/gaisler/memctrl/srctrl.vhd ../../../../../../lib/gaisler/memctrl/spimctrl.vhd ../../../../../../lib/gaisler/leon3/leon3.vhd ../../../../../../lib/gaisler/leon3/mmuconfig.vhd ../../../../../../lib/gaisler/leon3/mmuiface.vhd ../../../../../../lib/gaisler/leon3/libmmu.vhd ../../../../../../lib/gaisler/leon3/libiu.vhd ../../../../../../lib/gaisler/leon3/libcache.vhd ../../../../../../lib/gaisler/leon3/libproc3.vhd ../../../../../../lib/gaisler/leon3/cachemem.vhd ../../../../../../lib/gaisler/leon3/mmu_icache.vhd ../../../../../../lib/gaisler/leon3/mmu_dcache.vhd ../../../../../../lib/gaisler/leon3/mmu_acache.vhd ../../../../../../lib/gaisler/leon3/mmutlbcam.vhd ../../../../../../lib/gaisler/leon3/mmulrue.vhd ../../../../../../lib/gaisler/leon3/mmulru.vhd ../../../../../../lib/gaisler/leon3/mmutlb.vhd ../../../../../../lib/gaisler/leon3/mmutw.vhd ../../../../../../lib/gaisler/leon3/mmu.vhd ../../../../../../lib/gaisler/leon3/mmu_cache.vhd ../../../../../../lib/gaisler/leon3/cpu_disasx.vhd ../../../../../../lib/gaisler/leon3/iu3.vhd ../../../../../../lib/gaisler/leon3/grfpwx.vhd ../../../../../../lib/gaisler/leon3/mfpwx.vhd ../../../../../../lib/gaisler/leon3/grlfpwx.vhd ../../../../../../lib/gaisler/leon3/tbufmem.vhd ../../../../../../lib/gaisler/leon3/dsu3x.vhd ../../../../../../lib/gaisler/leon3/dsu3.vhd ../../../../../../lib/gaisler/leon3/proc3.vhd ../../../../../../lib/gaisler/leon3/leon3s.vhd ../../../../../../lib/gaisler/leon3/leon3cg.vhd ../../../../../../lib/gaisler/leon3/irqmp.vhd ../../../../../../lib/gaisler/leon3/grfpwxsh.vhd ../../../../../../lib/gaisler/leon3/grfpushwx.vhd ../../../../../../lib/gaisler/leon3/leon3sh.vhd ../../../../../../lib/gaisler/misc/misc.vhd ../../../../../../lib/gaisler/misc/rstgen.vhd ../../../../../../lib/gaisler/misc/gptimer.vhd ../../../../../../lib/gaisler/misc/ahbram.vhd ../../../../../../lib/gaisler/misc/ahbdpram.vhd ../../../../../../lib/gaisler/misc/ahbtrace.vhd ../../../../../../lib/gaisler/misc/ahbtrace_mb.vhd ../../../../../../lib/gaisler/misc/ahbmst.vhd ../../../../../../lib/gaisler/misc/grgpio.vhd ../../../../../../lib/gaisler/misc/ahbstat.vhd ../../../../../../lib/gaisler/misc/logan.vhd ../../../../../../lib/gaisler/misc/apbps2.vhd ../../../../../../lib/gaisler/misc/charrom_package.vhd ../../../../../../lib/gaisler/misc/charrom.vhd ../../../../../../lib/gaisler/misc/apbvga.vhd ../../../../../../lib/gaisler/misc/svgactrl.vhd ../../../../../../lib/gaisler/misc/i2cmst_gen.vhd ../../../../../../lib/gaisler/misc/spictrl.vhd ../../../../../../lib/gaisler/misc/i2cslv.vhd ../../../../../../lib/gaisler/misc/wild.vhd ../../../../../../lib/gaisler/misc/wild2ahb.vhd ../../../../../../lib/gaisler/misc/grsysmon.vhd ../../../../../../lib/gaisler/misc/gracectrl.vhd ../../../../../../lib/gaisler/misc/grgpreg.vhd ../../../../../../lib/gaisler/misc/ahbmst2.vhd ../../../../../../lib/gaisler/misc/ahb_mst_iface.vhd ../../../../../../lib/gaisler/net/net.vhd ../../../../../../lib/gaisler/uart/uart.vhd ../../../../../../lib/gaisler/uart/libdcom.vhd ../../../../../../lib/gaisler/uart/apbuart.vhd ../../../../../../lib/gaisler/uart/dcom.vhd ../../../../../../lib/gaisler/uart/dcom_uart.vhd ../../../../../../lib/gaisler/uart/ahbuart.vhd ../../../../../../lib/gaisler/jtag/jtag.vhd ../../../../../../lib/gaisler/jtag/libjtagcom.vhd ../../../../../../lib/gaisler/jtag/jtagcom.vhd ../../../../../../lib/gaisler/jtag/ahbjtag.vhd ../../../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd ../../../../../../lib/gaisler/greth/ethernet_mac.vhd ../../../../../../lib/gaisler/greth/greth.vhd ../../../../../../lib/gaisler/greth/greth_gbit.vhd ../../../../../../lib/gaisler/greth/grethm.vhd ../../../../../../lib/gaisler/ddr/ddr_phy.vhd ../../../../../../lib/gaisler/ddr/ddrsp16a.vhd ../../../../../../lib/gaisler/ddr/ddrsp32a.vhd ../../../../../../lib/gaisler/ddr/ddrsp64a.vhd ../../../../../../lib/gaisler/ddr/ddrspa.vhd ../../../../../../lib/gaisler/ddr/ddr2spa.vhd ../../../../../../lib/gaisler/ddr/ddr2buf.vhd ../../../../../../lib/gaisler/ddr/ddr2spax.vhd ../../../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd ../../../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd} -{esa ../../../../../../lib/esa/memoryctrl/memoryctrl.vhd ../../../../../../lib/esa/memoryctrl/mctrl.vhd} -{lpp ../../../../../../lib/lpp/./general_purpose/Adder.vhd ../../../../../../lib/lpp/./general_purpose/ADDRcntr.vhd ../../../../../../lib/lpp/./general_purpose/ALU.vhd ../../../../../../lib/lpp/./general_purpose/general_purpose.vhd ../../../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd ../../../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd ../../../../../../lib/lpp/./general_purpose/MAC_MUX.vhd ../../../../../../lib/lpp/./general_purpose/MAC_REG.vhd ../../../../../../lib/lpp/./general_purpose/MAC.vhd ../../../../../../lib/lpp/./general_purpose/Multiplier.vhd ../../../../../../lib/lpp/./general_purpose/MUX2.vhd ../../../../../../lib/lpp/./general_purpose/REG.vhd ../../../../../../lib/lpp/./general_purpose/Shifter.vhd ../../../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd ../../../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd ../../../../../../lib/lpp/./lpp_amba/lpp_amba.vhd ../../../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd ../../../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd ../../../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd ../../../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd ../../../../../../lib/lpp/./dsp/iir_filter/RAM.vhd ../../../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd ../../../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd} -{work ../../../../config.vhd ../../../../ahbrom.vhd ../../../../leon3mp.vhd} -} -set verilogList { -} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib b/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/cds.lib +++ /dev/null @@ -1,17 +0,0 @@ -include $CDS_INST_DIR/tools/inca/files/cds.lib -DEFINE grlib xncsim/grlib -DEFINE unisim xncsim/unisim -DEFINE dw02 xncsim/dw02 -DEFINE synplify xncsim/synplify -DEFINE techmap xncsim/techmap -DEFINE eth xncsim/eth -DEFINE gaisler xncsim/gaisler -DEFINE esa xncsim/esa -DEFINE fmf xncsim/fmf -DEFINE spansion xncsim/spansion -DEFINE gsi xncsim/gsi -DEFINE lpp xncsim/lpp -DEFINE cypress xncsim/cypress -DEFINE hynix xncsim/hynix -DEFINE micron xncsim/micron -DEFINE work xncsim/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.asim +++ /dev/null @@ -1,854 +0,0 @@ - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.dc +++ /dev/null @@ -1,241 +0,0 @@ -sh mkdir synopsys -sh mkdir synopsys/grlib -define_design_lib grlib -path synopsys/grlib -analyze -f VHDL -library grlib ../../lib/grlib/stdlib/version.vhd -analyze -f VHDL -library grlib ../../lib/grlib/stdlib/config.vhd -analyze -f VHDL -library grlib ../../lib/grlib/stdlib/stdlib.vhd -analyze -f VHDL -library grlib ../../lib/grlib/sparc/sparc.vhd -analyze -f VHDL -library grlib ../../lib/grlib/modgen/multlib.vhd -analyze -f VHDL -library grlib ../../lib/grlib/modgen/leaves.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/amba.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/devices.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/defmst.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/apbctrl.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/ahbctrl.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd -analyze -f VHDL -library grlib ../../lib/grlib/amba/dma2ahb.vhd -sh mkdir synopsys/unisim -define_design_lib unisim -path synopsys/unisim -sh mkdir synopsys/synplify -define_design_lib synplify -path synopsys/synplify -sh mkdir synopsys/techmap -define_design_lib techmap -path synopsys/techmap -analyze -f VHDL -library techmap ../../lib/techmap/gencomp/gencomp.vhd -analyze -f VHDL -library techmap ../../lib/techmap/gencomp/netcomp.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/memory_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/ddr_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/mul_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd -analyze -f VHDL -library techmap ../../lib/techmap/dw02/mul_dw_gen.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allclkgen.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allmem.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/allpads.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/alltap.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkgen.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkmux.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkand.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ddr_ireg.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ddr_oreg.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ddrphy.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram64.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram_2p.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram_dp.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncfifo.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/regfile_3p.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/tap.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/techbuf.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/nandtree.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/clkpad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iodpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/lvds_combo.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/odpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad_ds.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/toutpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/skew_outpad.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grspwc_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grspwc2_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grlfpw_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grfpw_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/mul_61x61.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/cpu_disas_net.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/ringosc.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/system_monitor.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/grgates.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/inpad_ddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/outpad_ddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/iopad_ddr.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram128bw.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram128.vhd -analyze -f VHDL -library techmap ../../lib/techmap/maps/syncram156bw.vhd -sh mkdir synopsys/eth -define_design_lib eth -path synopsys/eth -analyze -f VHDL -library eth ../../lib/eth/comp/ethcomp.vhd -analyze -f VHDL -library eth ../../lib/eth/core/greth_pkg.vhd -analyze -f VHDL -library eth ../../lib/eth/core/eth_rstgen.vhd -analyze -f VHDL -library eth ../../lib/eth/core/eth_ahb_mst.vhd -analyze -f VHDL -library eth ../../lib/eth/core/greth_tx.vhd -analyze -f VHDL -library eth ../../lib/eth/core/greth_rx.vhd -analyze -f VHDL -library eth ../../lib/eth/core/grethc.vhd -analyze -f VHDL -library eth ../../lib/eth/wrapper/greth_gen.vhd -analyze -f VHDL -library eth ../../lib/eth/wrapper/greth_gbit_gen.vhd -sh mkdir synopsys/gaisler -define_design_lib gaisler -path synopsys/gaisler -analyze -f VHDL -library gaisler ../../lib/gaisler/arith/arith.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/arith/mul32.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/arith/div32.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/memctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/srctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/memctrl/spimctrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmuconfig.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmuiface.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libmmu.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libiu.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libcache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/libproc3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/cachemem.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_icache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_acache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmulrue.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmulru.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutlb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmutw.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mmu_cache.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/iu3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/mfpwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grlfpwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/tbufmem.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/dsu3x.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/dsu3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/proc3.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3s.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3cg.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/irqmp.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/grfpushwx.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/leon3/leon3sh.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/misc.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/rstgen.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/gptimer.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbram.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbdpram.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbtrace.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbmst.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grgpio.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbstat.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/logan.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/apbps2.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/charrom_package.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/charrom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/apbvga.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/svgactrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/spictrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/i2cslv.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/wild.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/wild2ahb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grsysmon.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/gracectrl.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/grgpreg.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahbmst2.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/net/net.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/uart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/libdcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/apbuart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/dcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/dcom_uart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/uart/ahbuart.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/jtag.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/libjtagcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/jtagcom.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/ahbjtag.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/ethernet_mac.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/greth.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/greth_gbit.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/greth/grethm.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr_phy.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddrspa.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spa.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2buf.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -analyze -f VHDL -library gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -sh mkdir synopsys/esa -define_design_lib esa -path synopsys/esa -analyze -f VHDL -library esa ../../lib/esa/memoryctrl/memoryctrl.vhd -analyze -f VHDL -library esa ../../lib/esa/memoryctrl/mctrl.vhd -sh mkdir synopsys/fmf -define_design_lib fmf -path synopsys/fmf -sh mkdir synopsys/spansion -define_design_lib spansion -path synopsys/spansion -sh mkdir synopsys/gsi -define_design_lib gsi -path synopsys/gsi -sh mkdir synopsys/lpp -define_design_lib lpp -path synopsys/lpp -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Adder.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/ALU.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/general_purpose.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MAC.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Multiplier.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/MUX2.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/REG.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./general_purpose/Shifter.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -analyze -f VHDL -library lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd -sh mkdir synopsys/cypress -define_design_lib cypress -path synopsys/cypress -sh mkdir synopsys/hynix -define_design_lib hynix -path synopsys/hynix -sh mkdir synopsys/micron -define_design_lib micron -path synopsys/micron -sh mkdir synopsys/work -define_design_lib work -path synopsys/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ghdl +++ /dev/null @@ -1,298 +0,0 @@ - mkdir gnu - mkdir gnu/grlib - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/version.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/config.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/testlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/util/util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/cpu_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/multlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/leaves.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/devices.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/defmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/apbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/ahbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir gnu/unisim - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir gnu/dw02 - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/dw02 --work=dw02 -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir gnu/synplify - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synplify.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synattr.vhd - mkdir gnu/techmap - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/gencomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/netcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/memory_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/mul_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/memory_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/pads_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/tap_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/mul_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allclkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allpads.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/alltap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkmux.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkand.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_ireg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_oreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddrphy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_2p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_dp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncfifo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/regfile_3p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/tap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/techbuf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/nandtree.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iodpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/lvds_combo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/odpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/toutpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/skew_outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc2_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grlfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/mul_61x61.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ringosc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/system_monitor.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grgates.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128bw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir gnu/eth - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/comp/ethcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_tx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_rx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/grethc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir gnu/gaisler - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/arith.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/mul32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/div32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libmmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libiu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libproc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cachemem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulru.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/iu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/proc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3s.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/irqmp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/misc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gptimer.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbstat.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/logan.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbps2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom_package.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbvga.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/svgactrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/spictrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cslv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grsysmon.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gracectrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/net/net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/libdcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/apbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/ahbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ata_device.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram16.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ahbrep.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/delay_wire.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/spi_flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/pwm_check.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/usbsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/grethm.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir gnu/esa - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir gnu/fmf - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/conversions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/gen_utils.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/s25fl064a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/m25p80.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir gnu/spansion - mkdir gnu/gsi - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/functions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/core_burst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir gnu/lpp - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Adder.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ALU.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir gnu/cypress - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/package_utility.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir gnu/hynix - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/components.vhd - mkdir gnu/micron - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir gnu/work - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/debug.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/grtestmod.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.ncsim +++ /dev/null @@ -1,302 +0,0 @@ - mkdir xncsim - mkdir xncsim/grlib - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/version.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/config.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/testlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/util/util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/multlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/leaves.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/devices.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/defmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/apbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/ahbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir xncsim/unisim - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir xncsim/dw02 - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir xncsim/synplify - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synplify.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synattr.vhd - mkdir xncsim/techmap - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/gencomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/netcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allclkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allpads.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/alltap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkmux.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkand.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddrphy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_2p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_dp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncfifo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/regfile_3p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/tap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/techbuf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/nandtree.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iodpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/lvds_combo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/odpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/toutpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/skew_outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/mul_61x61.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ringosc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/system_monitor.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grgates.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128bw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir xncsim/eth - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/comp/ethcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_tx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_rx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/grethc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir xncsim/gaisler - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/arith.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/mul32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/div32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libiu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/iu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/proc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/misc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gptimer.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/logan.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbps2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbvga.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/spictrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/net/net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/libdcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/apbuart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - ncvlog -nowarn DLCPTH -nocopyright -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ata_device.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram16.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/usbsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/grethm.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir xncsim/esa - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir xncsim/fmf - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/conversions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/gen_utils.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/s25fl064a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/m25p80.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir xncsim/spansion - mkdir xncsim/gsi - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/functions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/core_burst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir xncsim/lpp - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir xncsim/cypress - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/package_utility.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir xncsim/hynix - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/components.vhd - mkdir xncsim/micron - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/sdram/mobile_sdr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/ddr2.v - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/mobile_ddr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir xncsim/work - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/debug.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/grtestmod.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.rc +++ /dev/null @@ -1,211 +0,0 @@ -set_attribute input_pragma_keyword "cadence synopsys get2chip g2c fast ambit pragma" -read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/version.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/config.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/stdlib/stdlib.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/sparc/sparc.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/modgen/multlib.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/modgen/leaves.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/amba.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/devices.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/defmst.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/apbctrl.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/ahbctrl.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd -read_hdl -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/gencomp/gencomp.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/gencomp/netcomp.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/memory_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/ddr_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/mul_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/dw02/mul_dw_gen.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allclkgen.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allmem.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/allpads.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/alltap.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkgen.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkmux.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkand.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddr_ireg.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddr_oreg.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ddrphy.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram64.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram_2p.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram_dp.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncfifo.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/regfile_3p.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/tap.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/techbuf.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/nandtree.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/clkpad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iodpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/lvds_combo.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/odpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad_ds.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/toutpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/skew_outpad.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grspwc_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grspwc2_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grlfpw_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grfpw_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/mul_61x61.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/cpu_disas_net.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/ringosc.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/system_monitor.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/grgates.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/inpad_ddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/outpad_ddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/iopad_ddr.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram128bw.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram128.vhd -read_hdl -vhdl -lib techmap ../../lib/techmap/maps/syncram156bw.vhd -read_hdl -vhdl -lib eth ../../lib/eth/comp/ethcomp.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/greth_pkg.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/eth_rstgen.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/eth_ahb_mst.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/greth_tx.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/greth_rx.vhd -read_hdl -vhdl -lib eth ../../lib/eth/core/grethc.vhd -read_hdl -vhdl -lib eth ../../lib/eth/wrapper/greth_gen.vhd -read_hdl -vhdl -lib eth ../../lib/eth/wrapper/greth_gbit_gen.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/arith.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/mul32.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/arith/div32.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/memctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/srctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/memctrl/spimctrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuconfig.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuiface.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libmmu.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libiu.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libcache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/libproc3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/cachemem.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_icache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_acache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulrue.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulru.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutw.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_cache.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/iu3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/mfpwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grlfpwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/tbufmem.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3x.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/proc3.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3s.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3cg.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/irqmp.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpushwx.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3sh.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/misc.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/rstgen.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/gptimer.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbram.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbdpram.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grgpio.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbstat.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/logan.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/apbps2.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/charrom_package.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/charrom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/apbvga.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/svgactrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/spictrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/i2cslv.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/wild.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/wild2ahb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grsysmon.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/gracectrl.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/grgpreg.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst2.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/net/net.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/uart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/libdcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/apbuart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/dcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/dcom_uart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/uart/ahbuart.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/jtag.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/libjtagcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/jtagcom.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/ethernet_mac.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/greth.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/greth_gbit.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/greth/grethm.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr_phy.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrspa.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spa.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2buf.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -read_hdl -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -read_hdl -vhdl -lib esa ../../lib/esa/memoryctrl/memoryctrl.vhd -read_hdl -vhdl -lib esa ../../lib/esa/memoryctrl/mctrl.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Adder.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/ALU.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/general_purpose.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Multiplier.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/MUX2.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/REG.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./general_purpose/Shifter.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -read_hdl -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.son +++ /dev/null @@ -1,285 +0,0 @@ - vhdlp -s -work grlib ../../lib/grlib/stdlib/version.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/config.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdio.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/testlib.vhd - vhdlp -s -work grlib ../../lib/grlib/util/util.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/multlib.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/leaves.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/devices.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/defmst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/apbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_util.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vhdlp -s -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synplify.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synattr.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allclkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allmem.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allpads.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/alltap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkmux.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkand.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddrphy.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram64.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncfifo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/tap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/techbuf.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/nandtree.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iodpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/odpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/toutpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ringosc.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/system_monitor.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grgates.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vhdlp -s -work eth ../../lib/eth/comp/ethcomp.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_pkg.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_rstgen.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_tx.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_rx.vhd - vhdlp -s -work eth ../../lib/eth/core/grethc.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gen.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/arith.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/mul32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/div32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/misc.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/logan.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/net/net.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram16.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/grethm.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/conversions.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/flash.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/m25p80.vhd - vhdlp -s -work fmf ../../lib/fmf/fifo/idt7202.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/functions.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/core_burst.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/components.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/package_utility.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vhdlp -s -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vhdlp -s -work sonata ../../lib/work/debug/debug.vhd - vhdlp -s -work sonata ../../lib/work/debug/grtestmod.vhd - vhdlp -s -work sonata ../../lib/work/debug/cpu_disas.vhd - vhdlp -s -work sonata config.vhd - vhdlp -s -work sonata ahbrom.vhd - vhdlp -s -work sonata leon3mp.vhd - vhdlp -s -work sonata testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.synp +++ /dev/null @@ -1,222 +0,0 @@ -add_file -vhdl -lib grlib ../../lib/grlib/stdlib/version.vhd -add_file -vhdl -lib grlib ../../lib/grlib/stdlib/config.vhd -add_file -vhdl -lib grlib ../../lib/grlib/stdlib/stdlib.vhd -add_file -vhdl -lib grlib ../../lib/grlib/sparc/sparc.vhd -add_file -vhdl -lib grlib ../../lib/grlib/modgen/multlib.vhd -add_file -vhdl -lib grlib ../../lib/grlib/modgen/leaves.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/amba.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/devices.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/defmst.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/apbctrl.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/ahbctrl.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd -add_file -vhdl -lib grlib ../../lib/grlib/amba/dma2ahb.vhd -add_file -vhdl -lib techmap ../../lib/techmap/gencomp/gencomp.vhd -add_file -vhdl -lib techmap ../../lib/techmap/gencomp/netcomp.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/memory_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/ddr_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/mul_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/memory_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/buffer_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/pads_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/clkgen_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/tap_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/ddr_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/grspwc_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/sysmon_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/unisim/mul_unisim.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allclkgen.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allmem.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/allpads.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/alltap.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkgen.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkmux.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkand.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ddr_ireg.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ddr_oreg.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ddrphy.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram64.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram_2p.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram_dp.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncfifo.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/regfile_3p.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/tap.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/techbuf.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/nandtree.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/clkpad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iodpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/lvds_combo.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/odpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad_ds.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/toutpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/skew_outpad.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grspwc_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grspwc2_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grlfpw_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grfpw_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/mul_61x61.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/cpu_disas_net.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/ringosc.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/system_monitor.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/grgates.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/inpad_ddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/outpad_ddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/iopad_ddr.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram128bw.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram128.vhd -add_file -vhdl -lib techmap ../../lib/techmap/maps/syncram156bw.vhd -add_file -vhdl -lib eth ../../lib/eth/comp/ethcomp.vhd -add_file -vhdl -lib eth ../../lib/eth/core/greth_pkg.vhd -add_file -vhdl -lib eth ../../lib/eth/core/eth_rstgen.vhd -add_file -vhdl -lib eth ../../lib/eth/core/eth_ahb_mst.vhd -add_file -vhdl -lib eth ../../lib/eth/core/greth_tx.vhd -add_file -vhdl -lib eth ../../lib/eth/core/greth_rx.vhd -add_file -vhdl -lib eth ../../lib/eth/core/grethc.vhd -add_file -vhdl -lib eth ../../lib/eth/wrapper/greth_gen.vhd -add_file -vhdl -lib eth ../../lib/eth/wrapper/greth_gbit_gen.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/arith/arith.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/arith/mul32.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/arith/div32.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/memctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/srctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/memctrl/spimctrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuconfig.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmuiface.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libmmu.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libiu.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libcache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/libproc3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/cachemem.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_icache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_acache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulrue.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmulru.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutlb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmutw.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mmu_cache.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/iu3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/mfpwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grlfpwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/tbufmem.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3x.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/dsu3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/proc3.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3s.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3cg.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/irqmp.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/grfpushwx.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/leon3/leon3sh.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/misc.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/rstgen.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/gptimer.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbram.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbdpram.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grgpio.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbstat.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/logan.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/apbps2.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/charrom_package.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/charrom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/apbvga.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/svgactrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/spictrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/i2cslv.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/wild.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/wild2ahb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grsysmon.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/gracectrl.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/grgpreg.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahbmst2.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/net/net.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/uart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/libdcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/apbuart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/dcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/dcom_uart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/uart/ahbuart.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/jtag.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/libjtagcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/jtagcom.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/ethernet_mac.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/greth.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/greth_gbit.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/greth/grethm.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr_phy.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddrspa.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spa.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2buf.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -add_file -vhdl -lib gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -add_file -vhdl -lib esa ../../lib/esa/memoryctrl/memoryctrl.vhd -add_file -vhdl -lib esa ../../lib/esa/memoryctrl/mctrl.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Adder.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/ALU.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/general_purpose.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MAC.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Multiplier.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/MUX2.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/REG.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./general_purpose/Shifter.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -add_file -vhdl -lib lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.vsim +++ /dev/null @@ -1,285 +0,0 @@ - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/version.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/config.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdio.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/testlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/util/util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/multlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/leaves.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/devices.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/defmst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/apbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vcom -quiet -93 -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synplify.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synattr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allclkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allmem.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allpads.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/alltap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkmux.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkand.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddrphy.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram64.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncfifo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/tap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/techbuf.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/nandtree.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iodpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/odpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/toutpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ringosc.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/system_monitor.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grgates.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vcom -quiet -93 -work eth ../../lib/eth/comp/ethcomp.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_pkg.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_rstgen.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_tx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_rx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/grethc.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gen.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/arith.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/mul32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/div32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/misc.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/logan.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/net/net.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vlog -quiet -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram16.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/grethm.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/conversions.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/flash.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/m25p80.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/fifo/idt7202.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/functions.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/core_burst.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/components.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/package_utility.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/components.vhd - vlog -quiet -work micron ../../lib/micron/sdram/mobile_sdr.v - vcom -quiet -93 -work micron ../../lib/micron/sdram/components.vhd - vcom -quiet -93 -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vlog -quiet -work micron ../../lib/micron/ddr/ddr2.v - vlog -quiet -work micron ../../lib/micron/ddr/mobile_ddr.v - vcom -quiet -93 -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vcom -quiet -93 -work work ../../lib/work/debug/debug.vhd - vcom -quiet -93 -work work ../../lib/work/debug/grtestmod.vhd - vcom -quiet -93 -work work ../../lib/work/debug/cpu_disas.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/compile.xst +++ /dev/null @@ -1,222 +0,0 @@ -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/version.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/config.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/stdlib/stdlib.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/sparc/sparc.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/modgen/multlib.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/modgen/leaves.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/amba.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/devices.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/defmst.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/apbctrl.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/ahbctrl.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/dma2ahb_pkg.vhd -elaborate -ifmt vhdl -work_lib grlib -ifn ../../lib/grlib/amba/dma2ahb.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/gencomp/gencomp.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/gencomp/netcomp.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/memory_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/ddr_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/mul_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/inferred/ddr_phy_inferred.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/memory_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/buffer_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/pads_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/clkgen_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/tap_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ddr_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ddr_phy_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grspwc_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grspwc2_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/grusbhc_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/ssrctrl_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/sysmon_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/unisim/mul_unisim.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allclkgen.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allmem.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/allpads.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/alltap.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkgen.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkmux.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkand.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddr_ireg.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddr_oreg.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ddrphy.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram64.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram_2p.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram_dp.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncfifo.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/regfile_3p.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/tap.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/techbuf.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/nandtree.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/clkpad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iodpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/lvds_combo.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/odpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad_ds.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/toutpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/skew_outpad.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grspwc_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grspwc2_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grlfpw_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grfpw_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/mul_61x61.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/cpu_disas_net.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/ringosc.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/system_monitor.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/grgates.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/inpad_ddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/outpad_ddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/iopad_ddr.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram128bw.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram128.vhd -elaborate -ifmt vhdl -work_lib techmap -ifn ../../lib/techmap/maps/syncram156bw.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/comp/ethcomp.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_pkg.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/eth_rstgen.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/eth_ahb_mst.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_tx.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/greth_rx.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/core/grethc.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/wrapper/greth_gen.vhd -elaborate -ifmt vhdl -work_lib eth -ifn ../../lib/eth/wrapper/greth_gbit_gen.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/arith.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/mul32.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/arith/div32.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/memctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdctrl64.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/sdmctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/srctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/memctrl/spimctrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmuconfig.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmuiface.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libmmu.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libiu.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libcache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/libproc3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/cachemem.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_icache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_dcache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_acache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutlbcam.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmulrue.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmulru.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutlb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmutw.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mmu_cache.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/cpu_disasx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/iu3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/mfpwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grlfpwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/tbufmem.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/dsu3x.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/dsu3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/proc3.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3s.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3cg.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/irqmp.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpwxsh.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/grfpushwx.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/leon3/leon3sh.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/misc.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/rstgen.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/gptimer.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbram.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbdpram.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbtrace.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbtrace_mb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbmst.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grgpio.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbstat.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/logan.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/apbps2.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/charrom_package.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/charrom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/apbvga.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/svgactrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/i2cmst_gen.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/spictrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/i2cslv.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/wild.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/wild2ahb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grsysmon.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/gracectrl.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/grgpreg.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahbmst2.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/misc/ahb_mst_iface.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/net/net.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/uart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/libdcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/apbuart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/dcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/dcom_uart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/uart/ahbuart.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/jtag.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/libjtagcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/jtagcom.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/ahbjtag.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/ethernet_mac.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/greth.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/greth_gbit.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/greth/grethm.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr_phy.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp16a.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp32a.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrsp64a.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddrspa.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spa.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2buf.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -elaborate -ifmt vhdl -work_lib gaisler -ifn ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -elaborate -ifmt vhdl -work_lib esa -ifn ../../lib/esa/memoryctrl/memoryctrl.vhd -elaborate -ifmt vhdl -work_lib esa -ifn ../../lib/esa/memoryctrl/mctrl.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Adder.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/ADDRcntr.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/ALU.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/general_purpose.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_MUX.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC_REG.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MAC.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Multiplier.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/MUX2.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/REG.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./general_purpose/Shifter.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./lpp_amba/lpp_amba.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/RAM.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -elaborate -ifmt vhdl -work_lib lpp -ifn ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/config.h b/designs/leon3-APB_LCD-digilent-xc3s1600e/config.h deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/config.h +++ /dev/null @@ -1,272 +0,0 @@ -/* - * Automatically generated C config: don't edit - */ -#define AUTOCONF_INCLUDED -/* - * Synthesis - */ -#undef CONFIG_SYN_INFERRED -#undef CONFIG_SYN_STRATIX -#undef CONFIG_SYN_STRATIXII -#undef CONFIG_SYN_STRATIXIII -#undef CONFIG_SYN_CYCLONEIII -#undef CONFIG_SYN_ALTERA -#undef CONFIG_SYN_AXCEL -#undef CONFIG_SYN_AXDSP -#undef CONFIG_SYN_PROASIC -#undef CONFIG_SYN_PROASICPLUS -#undef CONFIG_SYN_PROASIC3 -#undef CONFIG_SYN_PROASIC3E -#undef CONFIG_SYN_PROASIC3L -#undef CONFIG_SYN_IGLOO -#undef CONFIG_SYN_FUSION -#undef CONFIG_SYN_UT025CRH -#undef CONFIG_SYN_ATC18 -#undef CONFIG_SYN_ATC18RHA -#undef CONFIG_SYN_CMOS9SF -#undef CONFIG_SYN_CUSTOM1 -#undef CONFIG_SYN_EASIC90 -#undef CONFIG_SYN_IHP25 -#undef CONFIG_SYN_IHP25RH -#undef CONFIG_SYN_LATTICE -#undef CONFIG_SYN_ECLIPSE -#undef CONFIG_SYN_PEREGRINE -#undef CONFIG_SYN_RH_LIB18T -#undef CONFIG_SYN_RHUMC -#undef CONFIG_SYN_SMIC13 -#undef CONFIG_SYN_TM65GPLUS -#undef CONFIG_SYN_TSMC90 -#undef CONFIG_SYN_UMC -#undef CONFIG_SYN_SPARTAN2 -#undef CONFIG_SYN_SPARTAN3 -#define CONFIG_SYN_SPARTAN3E 1 -#undef CONFIG_SYN_SPARTAN6 -#undef CONFIG_SYN_VIRTEX -#undef CONFIG_SYN_VIRTEXE -#undef CONFIG_SYN_VIRTEX2 -#undef CONFIG_SYN_VIRTEX4 -#undef CONFIG_SYN_VIRTEX5 -#undef CONFIG_SYN_VIRTEX6 -#undef CONFIG_SYN_INFER_RAM -#undef CONFIG_SYN_INFER_PADS -#undef CONFIG_SYN_NO_ASYNC -#undef CONFIG_SYN_SCAN -/* - * Clock generation - */ -#undef CONFIG_CLK_INFERRED -#undef CONFIG_CLK_HCLKBUF -#undef CONFIG_CLK_ALTDLL -#undef CONFIG_CLK_LATDLL -#undef CONFIG_CLK_PRO3PLL -#undef CONFIG_CLK_PRO3EPLL -#undef CONFIG_CLK_PRO3LPLL -#undef CONFIG_CLK_FUSPLL -#undef CONFIG_CLK_LIB18T -#undef CONFIG_CLK_RHUMC -#undef CONFIG_CLK_CLKDLL -#define CONFIG_CLK_DCM 1 -#define CONFIG_CLK_MUL (4) -#define CONFIG_CLK_DIV (5) -#undef CONFIG_PCI_CLKDLL -#undef CONFIG_CLK_NOFB -#undef CONFIG_PCI_SYSCLK -#define CONFIG_LEON3 1 -#define CONFIG_PROC_NUM (1) -/* - * Processor - */ -/* - * Integer unit - */ -#define CONFIG_IU_NWINDOWS (8) -#define CONFIG_IU_V8MULDIV 1 -#undef CONFIG_IU_MUL_LATENCY_2 -#undef CONFIG_IU_MUL_LATENCY_4 -#define CONFIG_IU_MUL_LATENCY_5 1 -#undef CONFIG_IU_MUL_MAC -#define CONFIG_IU_BP 1 -#define CONFIG_IU_SVT 1 -#define CONFIG_NOTAG 1 -#define CONFIG_IU_LDELAY (1) -#define CONFIG_IU_WATCHPOINTS (2) -#define CONFIG_PWD 1 -#define CONFIG_IU_RSTADDR 00000 -/* - * Floating-point unit - */ -#undef CONFIG_FPU_ENABLE -/* - * Cache system - */ -#define CONFIG_ICACHE_ENABLE 1 -#undef CONFIG_ICACHE_ASSO1 -#define CONFIG_ICACHE_ASSO2 1 -#undef CONFIG_ICACHE_ASSO3 -#undef CONFIG_ICACHE_ASSO4 -#undef CONFIG_ICACHE_SZ1 -#undef CONFIG_ICACHE_SZ2 -#define CONFIG_ICACHE_SZ4 1 -#undef CONFIG_ICACHE_SZ8 -#undef CONFIG_ICACHE_SZ16 -#undef CONFIG_ICACHE_SZ32 -#undef CONFIG_ICACHE_SZ64 -#undef CONFIG_ICACHE_SZ128 -#undef CONFIG_ICACHE_SZ256 -#undef CONFIG_ICACHE_LZ16 -#define CONFIG_ICACHE_LZ32 1 -#define CONFIG_ICACHE_ALGORND 1 -#undef CONFIG_ICACHE_ALGOLRR -#undef CONFIG_ICACHE_ALGOLRU -#undef CONFIG_ICACHE_LOCK -#define CONFIG_DCACHE_ENABLE 1 -#undef CONFIG_DCACHE_ASSO1 -#define CONFIG_DCACHE_ASSO2 1 -#undef CONFIG_DCACHE_ASSO3 -#undef CONFIG_DCACHE_ASSO4 -#undef CONFIG_DCACHE_SZ1 -#undef CONFIG_DCACHE_SZ2 -#define CONFIG_DCACHE_SZ4 1 -#undef CONFIG_DCACHE_SZ8 -#undef CONFIG_DCACHE_SZ16 -#undef CONFIG_DCACHE_SZ32 -#undef CONFIG_DCACHE_SZ64 -#undef CONFIG_DCACHE_SZ128 -#undef CONFIG_DCACHE_SZ256 -#define CONFIG_DCACHE_LZ16 1 -#undef CONFIG_DCACHE_LZ32 -#define CONFIG_DCACHE_ALGORND 1 -#undef CONFIG_DCACHE_ALGOLRR -#undef CONFIG_DCACHE_ALGOLRU -#undef CONFIG_DCACHE_LOCK -#define CONFIG_DCACHE_SNOOP 1 -#undef CONFIG_DCACHE_SNOOP_FAST -#undef CONFIG_DCACHE_SNOOP_SEPTAG -#define CONFIG_CACHE_FIXED 0 -/* - * MMU - */ -#define CONFIG_MMU_ENABLE 1 -#undef CONFIG_MMU_COMBINED -#define CONFIG_MMU_SPLIT 1 -#define CONFIG_MMU_REPARRAY 1 -#undef CONFIG_MMU_REPINCREMENT -#undef CONFIG_MMU_I2 -#undef CONFIG_MMU_I4 -#define CONFIG_MMU_I8 1 -#undef CONFIG_MMU_I16 -#undef CONFIG_MMU_I32 -#undef CONFIG_MMU_D2 -#undef CONFIG_MMU_D4 -#define CONFIG_MMU_D8 1 -#undef CONFIG_MMU_D16 -#undef CONFIG_MMU_D32 -#define CONFIG_MMU_FASTWB 1 -#define CONFIG_MMU_PAGE_4K 1 -#undef CONFIG_MMU_PAGE_8K -#undef CONFIG_MMU_PAGE_16K -#undef CONFIG_MMU_PAGE_32K -#undef CONFIG_MMU_PAGE_PROG -/* - * Debug Support Unit - */ -#define CONFIG_DSU_ENABLE 1 -#define CONFIG_DSU_ITRACE 1 -#undef CONFIG_DSU_ITRACESZ1 -#undef CONFIG_DSU_ITRACESZ2 -#define CONFIG_DSU_ITRACESZ4 1 -#undef CONFIG_DSU_ITRACESZ8 -#undef CONFIG_DSU_ITRACESZ16 -#define CONFIG_DSU_ATRACE 1 -#undef CONFIG_DSU_ATRACESZ1 -#undef CONFIG_DSU_ATRACESZ2 -#define CONFIG_DSU_ATRACESZ4 1 -#undef CONFIG_DSU_ATRACESZ8 -#undef CONFIG_DSU_ATRACESZ16 -/* - * Fault-tolerance - */ -/* - * VHDL debug settings - */ -#undef CONFIG_IU_DISAS -#undef CONFIG_DEBUG_PC32 -/* - * AMBA configuration - */ -#define CONFIG_AHB_DEFMST (0) -#define CONFIG_AHB_RROBIN 1 -#undef CONFIG_AHB_SPLIT -#define CONFIG_AHB_IOADDR FFF -#define CONFIG_APB_HADDR 800 -#undef CONFIG_AHB_MON -#undef CONFIG_AHB_DTRACE -/* - * Debug Link - */ -#define CONFIG_DSU_UART 1 -#undef CONFIG_DSU_JTAG -/* - * Peripherals - */ -/* - * Memory controllers - */ -/* - * Leon2 memory controller - */ -#define CONFIG_MCTRL_LEON2 1 -#undef CONFIG_MCTRL_8BIT -#undef CONFIG_MCTRL_16BIT -#undef CONFIG_MCTRL_5CS -#undef CONFIG_MCTRL_SDRAM -/* - * DDR266 SDRAM controller - */ -#define CONFIG_DDRSP 1 -#define CONFIG_DDRSP_INIT 1 -#define CONFIG_DDRSP_FREQ (90) -#define CONFIG_DDRSP_COL (10) -#define CONFIG_DDRSP_MBYTE (64) -#define CONFIG_DDRSP_RSKEW (40) -/* - * On-chip RAM/ROM - */ -#undef CONFIG_AHBROM_ENABLE -#undef CONFIG_AHBRAM_ENABLE -/* - * Ethernet - */ -#undef CONFIG_GRETH_ENABLE -/* - * UARTs, timers and irq control - */ -#define CONFIG_UART1_ENABLE 1 -#undef CONFIG_UA1_FIFO1 -#undef CONFIG_UA1_FIFO2 -#undef CONFIG_UA1_FIFO4 -#define CONFIG_UA1_FIFO8 1 -#undef CONFIG_UA1_FIFO16 -#undef CONFIG_UA1_FIFO32 -#define CONFIG_IRQ3_ENABLE 1 -#undef CONFIG_IRQ3_SEC -#define CONFIG_GPT_ENABLE 1 -#define CONFIG_GPT_NTIM (2) -#define CONFIG_GPT_SW (8) -#define CONFIG_GPT_TW (32) -#define CONFIG_GPT_IRQ (8) -#define CONFIG_GPT_SEPIRQ 1 -#undef CONFIG_GPT_WDOGEN -#define CONFIG_GRGPIO_ENABLE 1 -#define CONFIG_GRGPIO_WIDTH (8) -#define CONFIG_GRGPIO_IMASK 0000 -/* - * Keybord and VGA interface - */ -#undef CONFIG_KBD_ENABLE -#undef CONFIG_VGA_ENABLE -#undef CONFIG_SVGA_ENABLE -/* - * VHDL Debugging - */ -#undef CONFIG_DEBUG_UART diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path b/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/ghdl.path +++ /dev/null @@ -1,1 +0,0 @@ --Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/hdl.var b/designs/leon3-APB_LCD-digilent-xc3s1600e/hdl.var deleted file mode 100644 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.projectmgr @@ -8,6 +8,47 @@ 2 /Unassigned User Library Modules + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/LCD0 - apb_lcd_ctrlr - Behavioral + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/addr_pad - outpadv - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ahbjtag0 - ahbjtag - struct + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ahbram0 - ahbram - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/clk_pad - clkpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/clkgen0 - clkgen - struct + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dcom0 - ahbuart - struct + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/ddrc - ddrspa - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsu0 - dsu3 - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsubre_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsurx_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/dsutx_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/e1 - grethm - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/emdc_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/emdio_pad - iopad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/error_pad - odpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxc_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxco_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxcr_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxd_pad - inpadv - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxdv_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/erxer_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxc_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxd_pad - outpadv - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxen_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/etxer_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/horiz_sync_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/kbdata_pad - iopad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/kbdclk_pad - iopad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/oen_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/roms_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/serrx_pad - inpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/sertx_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/sr1 - mctrl - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/svga0 - svgactrl - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/u0 - leon3s - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/vert_sync_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/video_out_b_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/video_out_g_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/video_out_r_pad - outpad - rtl + /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-APB_LCD-digilent-xc3s1600e|leon3mp.vhd/wri_pad - outpad - rtl /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/LCD0 - apb_lcd_ctrlr - Behavioral /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/addr_pad - outpadv - rtl /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/ahbjtag0 - ahbjtag - struct @@ -52,18 +93,20 @@ /leon3mp - rtl |opt|GRLIB|grlib-gpl-1.1.0-b4104|designs|leon3-digilent-xc3s1600e|leon3mp.vhd/wri_pad - outpad - rtl - leon3mp - rtl (/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/leon3mp.vhd) + clkdivider - Clk_divider - ar_Clk_divider (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd) - 33 + 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000278000000020000000000000000000000000000000064ffffffff000000810000000000000002000002780000000100000000000000000000000100000000 + 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002be000000020000000000000000000000000000000064ffffffff000000810000000000000002000002be0000000100000000000000000000000100000000 false - leon3mp - rtl (/opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/leon3mp.vhd) + clkdivider - Clk_divider - ar_Clk_divider (/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd) 1 + Configure Target Device Design Utilities + Implement Design Implement Design/Map Implement Design/Place & Route Implement Design/Translate @@ -71,24 +114,26 @@ User Constraints - Configure Target Device + - 7 + 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000000000000000000177000000010000000100000000000000000000000064ffffffff000000810000000000000001000001770000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000163000000010000000100000000000000000000000064ffffffff000000810000000000000001000001630000000100000000 false - Configure Target Device + 1 - - 0 + + ../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd + + 178 0 000000ff00000000000000010000000000000000010000000000000000000000000000000000000598000000040101000100000000000000000000000064ffffffff000000810000000000000004000001970000000100000000000000d60000000100000000000000840000000100000000000002a70000000100000000 false - ../../lib/cypress/ssram/components.vhd + ../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd @@ -107,13 +152,13 @@ unisim - ../../lib/lpp/lpp_amba/lpp_amba.vhd + ../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd - 43 + 66 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000177000000010001000100000000000000000000000064ffffffff000000810000000000000001000001770000000100000000 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000119000000010001000100000000000000000000000064ffffffff000000810000000000000001000001190000000100000000 false - ../../lib/lpp/lpp_amba/lpp_amba.vhd + ../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd 000000ff0000000000000002000001f4000000b001000000060100000002 Implementation @@ -127,7 +172,7 @@ 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fd000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fd0000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000138000000010000000100000000000000000000000064ffffffff000000810000000000000001000001380000000100000000 false diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport @@ -1,11 +1,11 @@
- 2010-11-22T09:58:07 + 2010-12-02T07:02:18 leon3mp Unknown - /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/iseconfig/leon3mp.xreport - /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-digilent-xc3s1600e/ + /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/iseconfig/leon3mp.xreport + /opt/GRLIB/grlib-gpl-1.1.0-b4104/designs/leon3-APB_LCD-digilent-xc3s1600e/ 2010-11-19T08:25:19 false
diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf deleted file mode 100755 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qpf +++ /dev/null @@ -1,8 +0,0 @@ -#QUARTUS_VERSION = "4.1" -#DATE = "17:39:37 December 03, 2004" - - -# Revisions - - -PROJECT_REVISION = leon3mp diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf deleted file mode 100755 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.qsf +++ /dev/null @@ -1,223 +0,0 @@ -# Project-Wide Assignments -# ======================== -#set_global_assignment -name ORIGINAL_QUARTUS_VERSION "4.1 SP2" -#set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:13:08 DECEMBER 01, 2004" - -# Explicitly disable TimeQuest since the GRLIB flow invokes the classical -# timing analyzer and USE_TIMEQUEST_TIMING_ANALYZER defaults to "ON" -# set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER "OFF" - -set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/version.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/config.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/stdlib.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/sparc/sparc.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/multlib.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/leaves.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/amba.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/devices.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/defmst.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/apbctrl.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/ahbctrl.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb_pkg.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb.vhd -library grlib -set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/gencomp.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/netcomp.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/memory_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/mul_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_phy_inferred.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allclkgen.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allmem.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allpads.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/alltap.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkgen.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkmux.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkand.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_ireg.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_oreg.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddrphy.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram64.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_2p.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_dp.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncfifo.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/regfile_3p.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/tap.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/techbuf.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/nandtree.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iodpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/lvds_combo.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/odpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad_ds.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/toutpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/skew_outpad.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grspwc_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grspwc2_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grlfpw_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grfpw_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/mul_61x61.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/cpu_disas_net.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ringosc.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/system_monitor.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grgates.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad_ddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad_ddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad_ddr.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram128bw.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram128.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram156bw.vhd -library techmap -set_global_assignment -name VHDL_FILE ../../lib/eth/comp/ethcomp.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_pkg.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_rstgen.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_ahb_mst.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_tx.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_rx.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/core/grethc.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gen.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gbit_gen.vhd -library eth -set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/arith.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/mul32.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/div32.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/memctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdctrl64.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdmctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/srctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/spimctrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuconfig.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuiface.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libmmu.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libiu.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libcache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libproc3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cachemem.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_icache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_dcache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_acache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlbcam.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulrue.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulru.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutw.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_cache.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cpu_disasx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/iu3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mfpwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grlfpwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/tbufmem.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3x.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/proc3.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3s.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3cg.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/irqmp.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwxsh.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpushwx.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3sh.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/misc.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/rstgen.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/gptimer.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbram.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbdpram.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbtrace.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbtrace_mb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbmst.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grgpio.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbstat.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/logan.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbps2.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom_package.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbvga.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/svgactrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cmst_gen.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/spictrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cslv.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild2ahb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grsysmon.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/gracectrl.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grgpreg.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbmst2.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahb_mst_iface.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/net/net.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/uart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/libdcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/apbuart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom_uart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/ahbuart.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtag.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/libjtagcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtagcom.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/ethernet_mac.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth_gbit.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/grethm.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr_phy.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp16a.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp32a.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrsp64a.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddrspa.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spa.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2buf.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax_ahb.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/gaisler/ddr/ddr2spax_ddr.vhd -library gaisler -set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/memoryctrl.vhd -library esa -set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/mctrl.vhd -library esa -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Adder.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/ADDRcntr.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/ALU.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/general_purpose.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_MUX2.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_MUX.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC_REG.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MAC.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Multiplier.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/MUX2.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/REG.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./general_purpose/Shifter.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./lpp_amba/lpp_amba.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/FILTER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/RAM.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd -library lpp -set_global_assignment -name VHDL_FILE ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd -library lpp -set_global_assignment -name VHDL_FILE config.vhd -set_global_assignment -name VHDL_FILE ahbrom.vhd -set_global_assignment -name VHDL_FILE leon3mp.vhd - -set_global_assignment -name TOP_LEVEL_ENTITY "leon3mp" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.rc +++ /dev/null @@ -1,7 +0,0 @@ -set_attribute input_pragma_keyword "cadence synopsys get2chip g2c fast ambit pragma" -include compile.rc - -read_hdl -vhdl -lib work config.vhd -read_hdl -vhdl -lib work ahbrom.vhd -read_hdl -vhdl -lib work leon3mp.vhd -elaborate leon3mp diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.ucf @@ -239,4 +239,17 @@ NET "LCD_E" LOC = "M18" | IOSTANDARD = L NET "LCD_RET" LOC = "E3" | IOSTANDARD = SSTL2_I ; NET "LCD_CS1" LOC = "P3" | IOSTANDARD = SSTL2_I ; NET "LCD_CS2" LOC = "P4" | IOSTANDARD = SSTL2_I ; +NET "ADC_SCK" LOC = "P13" | IOSTANDARD = LVTTL ; +NET "ADC_CNV" LOC = "T14" | IOSTANDARD = LVTTL ; +NET "ADC_SDI" LOC = "R13" | IOSTANDARD = LVTTL ; + + + + + + + + + + diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.vhd @@ -43,6 +43,8 @@ use work.config.all; library lpp; use lpp.amba_lcd_16x2_ctrlr.all; use lpp.LCD_16x2_CFG.all; +use lpp.lpp_ad_conv.all; + entity leon3mp is generic ( @@ -133,7 +135,10 @@ entity leon3mp is LCD_CS2 : out STD_LOGIC; SF_CE0 : out std_logic; BTN_NORTH : in std_ulogic; - BTN_WEST : in std_ulogic + BTN_WEST : in std_ulogic; + ADC_SCK : out std_logic; + ADC_CNV : out std_logic; + ADC_SDI : in std_logic ); end; @@ -204,6 +209,9 @@ architecture rtl of leon3mp is signal ddr_csb : std_logic_vector(1 downto 0); signal ddr_adl : std_logic_vector(13 downto 0); -- ddr address + signal AD_in : AD7688_in(0 downto 0); + signal AD_out : AD7688_out; + attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; @@ -478,6 +486,17 @@ LCD0 : apb_lcd_ctrlr Port map( rstn,clkm,apbi, apbo(8),data(15 downto 8),LCD_RS,LCD_RW,LCD_E,LCD_RET,LCD_CS1,LCD_CS2,SF_CE0); ----------------------------------------------------------------------- +--- ADS7886 ---------------------------------------------------- +----------------------------------------------------------------------- + +ADC0 : lpp_apb_ad_conv + generic map(9,9,16#fff#,0,8,1,50000,100,ADS7886) + Port map(clkm,rstn,apbi, apbo(9),AD_in,AD_out); + +AD_in(0).SDI <= ADC_SDI; +ADC_CNV <= AD_out.CNV; +ADC_SCK <= AD_out.SCK; +----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp.xst +++ /dev/null @@ -1,56 +0,0 @@ -set -tmpdir "xst/projnav.tmp" -set -xsthdpdir "xst" -run --ifn leon3mp.prj --uc leon3mp.xcf --ifmt mixed --ofn leon3mp --ofmt NGC --p xc3s1600e-4-fg320 --top leon3mp --opt_mode Speed --opt_level 1 --iuc NO --keep_hierarchy No --netlist_hierarchy As_Optimized --rtlview Yes --glob_opt AllClockNets --read_cores YES --write_timing_constraints NO --cross_clock_analysis NO --hierarchy_separator / --bus_delimiter () --case Maintain --slice_utilization_ratio 100 --bram_utilization_ratio 100 --verilog2001 YES --fsm_extract NO --fsm_style LUT --ram_extract Yes --ram_style Auto --rom_extract Yes --mux_style Auto --decoder_extract YES --priority_extract Yes --shreg_extract YES --shift_extract YES --xor_collapse YES --rom_style Auto --auto_bram_packing NO --mux_extract Yes --resource_sharing YES --async_to_sync NO --mult_style Auto --iobuf YES --max_fanout 500 --bufg 24 --register_duplication YES --register_balancing No --slice_packing YES --optimize_primitives NO --use_clock_enable Yes --use_sync_set Yes --use_sync_reset Yes --iob True --equivalent_register_removal YES --slice_utilization_ratio_maxmargin 5 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer.tcl +++ /dev/null @@ -1,33 +0,0 @@ -new_design -name "leon3mp" -family "Spartan3E" -set_device -die "xc3s1600e" -package " " -speed "-4" -voltage "1.5" -iostd "LVTTL" -jtag "yes" -probe "yes" -trst "yes" -temprange "" -voltrange "" -if {[file exist leon3mp.pdc]} { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -format "pdc" -abort_on_error "no" {leon3mp.pdc} -} else { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -} -compile -combine_register 1 -if {[file exist ]} { - import_aux -format "pdc" -abort_on_error "no" {} - pin_commit -} else { - puts "WARNING: No PDC file imported." -} -if {[file exist ]} { - import_aux -format "sdc" -merge_timing "no" {} -} else { - puts "WARNING: No SDC file imported." -} -save_design {leon3mp.adb} -report -type status {./actel/report_status_pre.log} -layout -timing_driven -incremental "OFF" -save_design {leon3mp.adb} -backannotate -dir {./actel} -name "leon3mp" -format "SDF" -language "VHDL93" -netlist -report -type "timer" -analysis "max" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no" {./actel/report_timer_max.txt} -report -type "timer" -analysis "min" -print_summary "yes" -use_slack_threshold "no" -print_paths "yes" -max_paths 100 -max_expanded_paths 5 -include_user_sets "yes" -include_pin_to_pin "yes" -select_clock_domains "no" {./actel/report_timer_min.txt} -report -type "pin" -listby "name" {./actel/report_pin_name.log} -report -type "pin" -listby "number" {./actel/report_pin_number.log} -report -type "datasheet" {./actel/report_datasheet.txt} -export -format "pdb" -feature "prog_fpga" -io_state "Tri-State" {./actel/leon3mp.pdb} -export -format log -diagnostic {./actel/report_log.log} -report -type status {./actel/report_status_post.log} -save_design {leon3mp.adb} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_designer_act.tcl +++ /dev/null @@ -1,8 +0,0 @@ -new_design -name "leon3mp" -family "Spartan3E" -set_device -die "xc3s1600e" -package " " -speed "-4" -voltage "1.5" -iostd "LVTTL" -jtag "yes" -probe "yes" -trst "yes" -temprange "" -voltrange "" -if {[file exist leon3mp.pdc]} { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -format "pdc" -abort_on_error "no" {leon3mp.pdc} -} else { -import_source -format "edif" -edif_flavor "GENERIC" -merge_physical "no" -merge_timing "no" {synplify/leon3mp.edf} -} -save_design {leon3mp.adb} diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_ise.tcl +++ /dev/null @@ -1,485 +0,0 @@ -project new leon3mp.ise -project set family "Spartan3E" -project set device xc3s1600e -project set speed -4 -project set package fg320 -puts "Adding files to project" -lib_vhdl new grlib -xfile add "../../lib/grlib/stdlib/version.vhd" -lib_vhdl grlib -puts "../../lib/grlib/stdlib/version.vhd" -xfile add "../../lib/grlib/stdlib/config.vhd" -lib_vhdl grlib -puts "../../lib/grlib/stdlib/config.vhd" -xfile add "../../lib/grlib/stdlib/stdlib.vhd" -lib_vhdl grlib -puts "../../lib/grlib/stdlib/stdlib.vhd" -xfile add "../../lib/grlib/sparc/sparc.vhd" -lib_vhdl grlib -puts "../../lib/grlib/sparc/sparc.vhd" -xfile add "../../lib/grlib/modgen/multlib.vhd" -lib_vhdl grlib -puts "../../lib/grlib/modgen/multlib.vhd" -xfile add "../../lib/grlib/modgen/leaves.vhd" -lib_vhdl grlib -puts "../../lib/grlib/modgen/leaves.vhd" -xfile add "../../lib/grlib/amba/amba.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/amba.vhd" -xfile add "../../lib/grlib/amba/devices.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/devices.vhd" -xfile add "../../lib/grlib/amba/defmst.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/defmst.vhd" -xfile add "../../lib/grlib/amba/apbctrl.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/apbctrl.vhd" -xfile add "../../lib/grlib/amba/ahbctrl.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/ahbctrl.vhd" -xfile add "../../lib/grlib/amba/dma2ahb_pkg.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/dma2ahb_pkg.vhd" -xfile add "../../lib/grlib/amba/dma2ahb.vhd" -lib_vhdl grlib -puts "../../lib/grlib/amba/dma2ahb.vhd" -lib_vhdl new unisim -lib_vhdl new synplify -lib_vhdl new techmap -xfile add "../../lib/techmap/gencomp/gencomp.vhd" -lib_vhdl techmap -puts "../../lib/techmap/gencomp/gencomp.vhd" -xfile add "../../lib/techmap/gencomp/netcomp.vhd" -lib_vhdl techmap -puts "../../lib/techmap/gencomp/netcomp.vhd" -xfile add "../../lib/techmap/inferred/memory_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/memory_inferred.vhd" -xfile add "../../lib/techmap/inferred/ddr_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/ddr_inferred.vhd" -xfile add "../../lib/techmap/inferred/mul_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/mul_inferred.vhd" -xfile add "../../lib/techmap/inferred/ddr_phy_inferred.vhd" -lib_vhdl techmap -puts "../../lib/techmap/inferred/ddr_phy_inferred.vhd" -xfile add "../../lib/techmap/unisim/memory_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/memory_unisim.vhd" -xfile add "../../lib/techmap/unisim/buffer_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/buffer_unisim.vhd" -xfile add "../../lib/techmap/unisim/pads_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/pads_unisim.vhd" -xfile add "../../lib/techmap/unisim/clkgen_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/clkgen_unisim.vhd" -xfile add "../../lib/techmap/unisim/tap_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/tap_unisim.vhd" -xfile add "../../lib/techmap/unisim/ddr_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/ddr_unisim.vhd" -xfile add "../../lib/techmap/unisim/ddr_phy_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/ddr_phy_unisim.vhd" -xfile add "../../lib/techmap/unisim/grspwc_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/grspwc_unisim.vhd" -xfile add "../../lib/techmap/unisim/grspwc2_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/grspwc2_unisim.vhd" -xfile add "../../lib/techmap/unisim/grusbhc_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/grusbhc_unisim.vhd" -xfile add "../../lib/techmap/unisim/ssrctrl_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/ssrctrl_unisim.vhd" -xfile add "../../lib/techmap/unisim/sysmon_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/sysmon_unisim.vhd" -xfile add "../../lib/techmap/unisim/mul_unisim.vhd" -lib_vhdl techmap -puts "../../lib/techmap/unisim/mul_unisim.vhd" -xfile add "../../lib/techmap/maps/allclkgen.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allclkgen.vhd" -xfile add "../../lib/techmap/maps/allddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allddr.vhd" -xfile add "../../lib/techmap/maps/allmem.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allmem.vhd" -xfile add "../../lib/techmap/maps/allpads.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/allpads.vhd" -xfile add "../../lib/techmap/maps/alltap.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/alltap.vhd" -xfile add "../../lib/techmap/maps/clkgen.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkgen.vhd" -xfile add "../../lib/techmap/maps/clkmux.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkmux.vhd" -xfile add "../../lib/techmap/maps/clkand.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkand.vhd" -xfile add "../../lib/techmap/maps/ddr_ireg.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ddr_ireg.vhd" -xfile add "../../lib/techmap/maps/ddr_oreg.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ddr_oreg.vhd" -xfile add "../../lib/techmap/maps/ddrphy.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ddrphy.vhd" -xfile add "../../lib/techmap/maps/syncram.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram.vhd" -xfile add "../../lib/techmap/maps/syncram64.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram64.vhd" -xfile add "../../lib/techmap/maps/syncram_2p.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram_2p.vhd" -xfile add "../../lib/techmap/maps/syncram_dp.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram_dp.vhd" -xfile add "../../lib/techmap/maps/syncfifo.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncfifo.vhd" -xfile add "../../lib/techmap/maps/regfile_3p.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/regfile_3p.vhd" -xfile add "../../lib/techmap/maps/tap.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/tap.vhd" -xfile add "../../lib/techmap/maps/techbuf.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/techbuf.vhd" -xfile add "../../lib/techmap/maps/nandtree.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/nandtree.vhd" -xfile add "../../lib/techmap/maps/clkpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkpad.vhd" -xfile add "../../lib/techmap/maps/clkpad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/clkpad_ds.vhd" -xfile add "../../lib/techmap/maps/inpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/inpad.vhd" -xfile add "../../lib/techmap/maps/inpad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/inpad_ds.vhd" -xfile add "../../lib/techmap/maps/iodpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iodpad.vhd" -xfile add "../../lib/techmap/maps/iopad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iopad.vhd" -xfile add "../../lib/techmap/maps/iopad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iopad_ds.vhd" -xfile add "../../lib/techmap/maps/lvds_combo.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/lvds_combo.vhd" -xfile add "../../lib/techmap/maps/odpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/odpad.vhd" -xfile add "../../lib/techmap/maps/outpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/outpad.vhd" -xfile add "../../lib/techmap/maps/outpad_ds.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/outpad_ds.vhd" -xfile add "../../lib/techmap/maps/toutpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/toutpad.vhd" -xfile add "../../lib/techmap/maps/skew_outpad.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/skew_outpad.vhd" -xfile add "../../lib/techmap/maps/grspwc_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grspwc_net.vhd" -xfile add "../../lib/techmap/maps/grspwc2_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grspwc2_net.vhd" -xfile add "../../lib/techmap/maps/grlfpw_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grlfpw_net.vhd" -xfile add "../../lib/techmap/maps/grfpw_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grfpw_net.vhd" -xfile add "../../lib/techmap/maps/mul_61x61.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/mul_61x61.vhd" -xfile add "../../lib/techmap/maps/cpu_disas_net.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/cpu_disas_net.vhd" -xfile add "../../lib/techmap/maps/ringosc.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/ringosc.vhd" -xfile add "../../lib/techmap/maps/system_monitor.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/system_monitor.vhd" -xfile add "../../lib/techmap/maps/grgates.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/grgates.vhd" -xfile add "../../lib/techmap/maps/inpad_ddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/inpad_ddr.vhd" -xfile add "../../lib/techmap/maps/outpad_ddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/outpad_ddr.vhd" -xfile add "../../lib/techmap/maps/iopad_ddr.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/iopad_ddr.vhd" -xfile add "../../lib/techmap/maps/syncram128bw.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram128bw.vhd" -xfile add "../../lib/techmap/maps/syncram128.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram128.vhd" -xfile add "../../lib/techmap/maps/syncram156bw.vhd" -lib_vhdl techmap -puts "../../lib/techmap/maps/syncram156bw.vhd" -lib_vhdl new eth -xfile add "../../lib/eth/comp/ethcomp.vhd" -lib_vhdl eth -puts "../../lib/eth/comp/ethcomp.vhd" -xfile add "../../lib/eth/core/greth_pkg.vhd" -lib_vhdl eth -puts "../../lib/eth/core/greth_pkg.vhd" -xfile add "../../lib/eth/core/eth_rstgen.vhd" -lib_vhdl eth -puts "../../lib/eth/core/eth_rstgen.vhd" -xfile add "../../lib/eth/core/eth_ahb_mst.vhd" -lib_vhdl eth -puts "../../lib/eth/core/eth_ahb_mst.vhd" -xfile add "../../lib/eth/core/greth_tx.vhd" -lib_vhdl eth -puts "../../lib/eth/core/greth_tx.vhd" -xfile add "../../lib/eth/core/greth_rx.vhd" -lib_vhdl eth -puts "../../lib/eth/core/greth_rx.vhd" -xfile add "../../lib/eth/core/grethc.vhd" -lib_vhdl eth -puts "../../lib/eth/core/grethc.vhd" -xfile add "../../lib/eth/wrapper/greth_gen.vhd" -lib_vhdl eth -puts "../../lib/eth/wrapper/greth_gen.vhd" -xfile add "../../lib/eth/wrapper/greth_gbit_gen.vhd" -lib_vhdl eth -puts "../../lib/eth/wrapper/greth_gbit_gen.vhd" -lib_vhdl new gaisler -xfile add "../../lib/gaisler/arith/arith.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/arith/arith.vhd" -xfile add "../../lib/gaisler/arith/mul32.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/arith/mul32.vhd" -xfile add "../../lib/gaisler/arith/div32.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/arith/div32.vhd" -xfile add "../../lib/gaisler/memctrl/memctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/memctrl.vhd" -xfile add "../../lib/gaisler/memctrl/sdctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/sdctrl.vhd" -xfile add "../../lib/gaisler/memctrl/sdctrl64.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/sdctrl64.vhd" -xfile add "../../lib/gaisler/memctrl/sdmctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/sdmctrl.vhd" -xfile add "../../lib/gaisler/memctrl/srctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/srctrl.vhd" -xfile add "../../lib/gaisler/memctrl/spimctrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/memctrl/spimctrl.vhd" -xfile add "../../lib/gaisler/leon3/leon3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3.vhd" -xfile add "../../lib/gaisler/leon3/mmuconfig.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmuconfig.vhd" -xfile add "../../lib/gaisler/leon3/mmuiface.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmuiface.vhd" -xfile add "../../lib/gaisler/leon3/libmmu.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libmmu.vhd" -xfile add "../../lib/gaisler/leon3/libiu.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libiu.vhd" -xfile add "../../lib/gaisler/leon3/libcache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libcache.vhd" -xfile add "../../lib/gaisler/leon3/libproc3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/libproc3.vhd" -xfile add "../../lib/gaisler/leon3/cachemem.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/cachemem.vhd" -xfile add "../../lib/gaisler/leon3/mmu_icache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_icache.vhd" -xfile add "../../lib/gaisler/leon3/mmu_dcache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_dcache.vhd" -xfile add "../../lib/gaisler/leon3/mmu_acache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_acache.vhd" -xfile add "../../lib/gaisler/leon3/mmutlbcam.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmutlbcam.vhd" -xfile add "../../lib/gaisler/leon3/mmulrue.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmulrue.vhd" -xfile add "../../lib/gaisler/leon3/mmulru.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmulru.vhd" -xfile add "../../lib/gaisler/leon3/mmutlb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmutlb.vhd" -xfile add "../../lib/gaisler/leon3/mmutw.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmutw.vhd" -xfile add "../../lib/gaisler/leon3/mmu.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu.vhd" -xfile add "../../lib/gaisler/leon3/mmu_cache.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mmu_cache.vhd" -xfile add "../../lib/gaisler/leon3/cpu_disasx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/cpu_disasx.vhd" -xfile add "../../lib/gaisler/leon3/iu3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/iu3.vhd" -xfile add "../../lib/gaisler/leon3/grfpwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grfpwx.vhd" -xfile add "../../lib/gaisler/leon3/mfpwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/mfpwx.vhd" -xfile add "../../lib/gaisler/leon3/grlfpwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grlfpwx.vhd" -xfile add "../../lib/gaisler/leon3/tbufmem.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/tbufmem.vhd" -xfile add "../../lib/gaisler/leon3/dsu3x.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/dsu3x.vhd" -xfile add "../../lib/gaisler/leon3/dsu3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/dsu3.vhd" -xfile add "../../lib/gaisler/leon3/proc3.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/proc3.vhd" -xfile add "../../lib/gaisler/leon3/leon3s.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3s.vhd" -xfile add "../../lib/gaisler/leon3/leon3cg.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3cg.vhd" -xfile add "../../lib/gaisler/leon3/irqmp.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/irqmp.vhd" -xfile add "../../lib/gaisler/leon3/grfpwxsh.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grfpwxsh.vhd" -xfile add "../../lib/gaisler/leon3/grfpushwx.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/grfpushwx.vhd" -xfile add "../../lib/gaisler/leon3/leon3sh.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/leon3/leon3sh.vhd" -xfile add "../../lib/gaisler/misc/misc.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/misc.vhd" -xfile add "../../lib/gaisler/misc/rstgen.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/rstgen.vhd" -xfile add "../../lib/gaisler/misc/gptimer.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/gptimer.vhd" -xfile add "../../lib/gaisler/misc/ahbram.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbram.vhd" -xfile add "../../lib/gaisler/misc/ahbdpram.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbdpram.vhd" -xfile add "../../lib/gaisler/misc/ahbtrace.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbtrace.vhd" -xfile add "../../lib/gaisler/misc/ahbtrace_mb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbtrace_mb.vhd" -xfile add "../../lib/gaisler/misc/ahbmst.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbmst.vhd" -xfile add "../../lib/gaisler/misc/grgpio.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/grgpio.vhd" -xfile add "../../lib/gaisler/misc/ahbstat.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbstat.vhd" -xfile add "../../lib/gaisler/misc/logan.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/logan.vhd" -xfile add "../../lib/gaisler/misc/apbps2.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/apbps2.vhd" -xfile add "../../lib/gaisler/misc/charrom_package.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/charrom_package.vhd" -xfile add "../../lib/gaisler/misc/charrom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/charrom.vhd" -xfile add "../../lib/gaisler/misc/apbvga.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/apbvga.vhd" -xfile add "../../lib/gaisler/misc/svgactrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/svgactrl.vhd" -xfile add "../../lib/gaisler/misc/i2cmst_gen.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/i2cmst_gen.vhd" -xfile add "../../lib/gaisler/misc/spictrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/spictrl.vhd" -xfile add "../../lib/gaisler/misc/i2cslv.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/i2cslv.vhd" -xfile add "../../lib/gaisler/misc/wild.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/wild.vhd" -xfile add "../../lib/gaisler/misc/wild2ahb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/wild2ahb.vhd" -xfile add "../../lib/gaisler/misc/grsysmon.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/grsysmon.vhd" -xfile add "../../lib/gaisler/misc/gracectrl.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/gracectrl.vhd" -xfile add "../../lib/gaisler/misc/grgpreg.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/grgpreg.vhd" -xfile add "../../lib/gaisler/misc/ahbmst2.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahbmst2.vhd" -xfile add "../../lib/gaisler/misc/ahb_mst_iface.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/misc/ahb_mst_iface.vhd" -xfile add "../../lib/gaisler/net/net.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/net/net.vhd" -xfile add "../../lib/gaisler/uart/uart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/uart.vhd" -xfile add "../../lib/gaisler/uart/libdcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/libdcom.vhd" -xfile add "../../lib/gaisler/uart/apbuart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/apbuart.vhd" -xfile add "../../lib/gaisler/uart/dcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/dcom.vhd" -xfile add "../../lib/gaisler/uart/dcom_uart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/dcom_uart.vhd" -xfile add "../../lib/gaisler/uart/ahbuart.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/uart/ahbuart.vhd" -xfile add "../../lib/gaisler/jtag/jtag.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/jtag.vhd" -xfile add "../../lib/gaisler/jtag/libjtagcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/libjtagcom.vhd" -xfile add "../../lib/gaisler/jtag/jtagcom.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/jtagcom.vhd" -xfile add "../../lib/gaisler/jtag/ahbjtag.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/ahbjtag.vhd" -xfile add "../../lib/gaisler/jtag/ahbjtag_bsd.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/jtag/ahbjtag_bsd.vhd" -xfile add "../../lib/gaisler/greth/ethernet_mac.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/ethernet_mac.vhd" -xfile add "../../lib/gaisler/greth/greth.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/greth.vhd" -xfile add "../../lib/gaisler/greth/greth_gbit.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/greth_gbit.vhd" -xfile add "../../lib/gaisler/greth/grethm.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/greth/grethm.vhd" -xfile add "../../lib/gaisler/ddr/ddr_phy.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr_phy.vhd" -xfile add "../../lib/gaisler/ddr/ddrsp16a.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrsp16a.vhd" -xfile add "../../lib/gaisler/ddr/ddrsp32a.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrsp32a.vhd" -xfile add "../../lib/gaisler/ddr/ddrsp64a.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrsp64a.vhd" -xfile add "../../lib/gaisler/ddr/ddrspa.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddrspa.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spa.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spa.vhd" -xfile add "../../lib/gaisler/ddr/ddr2buf.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2buf.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spax.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spax.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spax_ahb.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spax_ahb.vhd" -xfile add "../../lib/gaisler/ddr/ddr2spax_ddr.vhd" -lib_vhdl gaisler -puts "../../lib/gaisler/ddr/ddr2spax_ddr.vhd" -lib_vhdl new esa -xfile add "../../lib/esa/memoryctrl/memoryctrl.vhd" -lib_vhdl esa -puts "../../lib/esa/memoryctrl/memoryctrl.vhd" -xfile add "../../lib/esa/memoryctrl/mctrl.vhd" -lib_vhdl esa -puts "../../lib/esa/memoryctrl/mctrl.vhd" -lib_vhdl new fmf -lib_vhdl new spansion -lib_vhdl new gsi -lib_vhdl new lpp -xfile add "../../lib/lpp/./general_purpose/Adder.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/Adder.vhd" -xfile add "../../lib/lpp/./general_purpose/ADDRcntr.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/ADDRcntr.vhd" -xfile add "../../lib/lpp/./general_purpose/ALU.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/ALU.vhd" -xfile add "../../lib/lpp/./general_purpose/general_purpose.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/general_purpose.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_MUX2.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_MUX2.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_MUX.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_MUX.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC_REG.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC_REG.vhd" -xfile add "../../lib/lpp/./general_purpose/MAC.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MAC.vhd" -xfile add "../../lib/lpp/./general_purpose/Multiplier.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/Multiplier.vhd" -xfile add "../../lib/lpp/./general_purpose/MUX2.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/MUX2.vhd" -xfile add "../../lib/lpp/./general_purpose/REG.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/REG.vhd" -xfile add "../../lib/lpp/./general_purpose/Shifter.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./general_purpose/Shifter.vhd" -xfile add "../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd" -xfile add "../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd" -xfile add "../../lib/lpp/./lpp_amba/lpp_amba.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./lpp_amba/lpp_amba.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/FILTER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/FILTER.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/iir_filter.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/iir_filter.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/RAM.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/RAM.vhd" -xfile add "../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd" -xfile add "../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd" -lib_vhdl lpp -puts "../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd" -lib_vhdl new work -xfile add "leon3mp.ucf" -xfile add "config.vhd" -lib_vhdl work -puts "config.vhd" -xfile add "ahbrom.vhd" -lib_vhdl work -puts "ahbrom.vhd" -xfile add "leon3mp.vhd" -lib_vhdl work -puts "leon3mp.vhd" -project set top "rtl" "leon3mp" -project set "Bus Delimiter" () -project set "FSM Encoding Algorithm" None -project set "Pack I/O Registers into IOBs" yes -project set "Verilog Macros" "" -project set "Other XST Command Line Options" "-uc leon3mp.xcf" -process "Synthesize - XST" -project set "Allow Unmatched LOC Constraints" true -process "Translate" -project set "Macro Search Path" "../../netlists/xilinx/Spartan3" -process "Translate" -project set "Pack I/O Registers/Latches into IOBs" {For Inputs and Outputs} -project set "Other MAP Command Line Options" "-timing" -process Map -project set "Drive Done Pin High" true -process "Generate Programming File" -project set "Create ReadBack Data Files" true -process "Generate Programming File" -project set "Create Mask File" true -process "Generate Programming File" -project set "Run Design Rules Checker (DRC)" false -process "Generate Programming File" -project close -exit diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.npl +++ /dev/null @@ -1,20 +0,0 @@ -JDF G -PROJECT leon3mp -DESIGN leon3mp -DEVFAM Spartan3E -DEVICE xc3s1600e -DEVSPEED -4 -DEVPKG fg320 -DEVTOPLEVELMODULETYPE EDIF -DEVSIMULATOR Modelsim -DEVGENERATEDSIMULATIONMODEL VHDL -SOURCE synplify/leon3mp.edf -DEPASSOC leon3mp leon3mp.ucf -[Normal] -xilxMapAllowLogicOpt=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxMapCoverMode=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Speed -xilxNgdbld_AUL=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxPAReffortLevel=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Medium -xilxNgdbldMacro=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1105378344, ../../netlists/xilinx/Spartan3 -[STRATEGY-LIST] -Normal=True diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.prj +++ /dev/null @@ -1,39 +0,0 @@ -source compile.synp -add_file -vhdl -lib work config.vhd -add_file -vhdl -lib work ahbrom.vhd -add_file -vhdl -lib work leon3mp.vhd -add_file -edif ../../netlists/xilinx/Spartan3/grfpw_0_unisim.edf -add_file -edif ../../netlists/xilinx/Spartan3/grfpw4_0_unisim.edf -add_file -edif ../../netlists/xilinx/Spartan3/grlfpw_0_unisim.edf -add_file -edif ../../netlists/xilinx/Spartan3/grlfpw4_0_unisim.edf -add_file -constraint default.sdc - -#implementation: "synplify" -impl -add synplify - -#device options -set_option -technology Spartan3E -set_option -part xc3s1600e -set_option -speed_grade -4 - -#compilation/mapping options -set_option -symbolic_fsm_compiler 0 -set_option -resource_sharing 0 -set_option -use_fsm_explorer 0 -set_option -write_vhdl 1 -#set_option -disable_io_insertion 0 - -#map options -set_option -frequency 70 - -set_option -top_module leon3mp - -#set result format/file last -project -result_file "synplify/leon3mp.edf" - -#implementation attributes -set_option -vlog_std v95 -set_option -compiler_compatible 0 -set_option -package fg320 -set_option -pipe 1; set_option -retiming 1; set_option -write_apr_constraint 0 -impl -active "synplify" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf deleted file mode 100755 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qpf +++ /dev/null @@ -1,8 +0,0 @@ -#QUARTUS_VERSION = "4.1" -#DATE = "17:39:37 December 03, 2004" - - -# Revisions - - -PROJECT_REVISION = leon3mp_synplify diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf deleted file mode 100755 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify.qsf +++ /dev/null @@ -1,12 +0,0 @@ -# Project-Wide Assignments -# ======================== -#set_global_assignment -name ORIGINAL_QUARTUS_VERSION "4.1 SP2" -#set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:13:08 DECEMBER 01, 2004" - -# Explicitly disable TimeQuest since the GRLIB flow invokes the classical -# timing analyzer and USE_TIMEQUEST_TIMING_ANALYZER defaults to "ON" -# set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER "OFF" - -set_global_assignment -name VQM_FILE synplify/leon3mp.edf - -set_global_assignment -name TOP_LEVEL_ENTITY "leon3mp" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_synplify_win32.npl +++ /dev/null @@ -1,18 +0,0 @@ -JDF G -PROJECT leon3mp -DESIGN leon3mp -DEVFAM Spartan3E -DEVICE xc3s1600e -DEVSPEED -4 -DEVPKG fg320 -DEVTOPLEVELMODULETYPE EDIF -DEVSIMULATOR Modelsim -DEVGENERATEDSIMULATIONMODEL VHDL -SOURCE synplify\leon3mp.edf -DEPASSOC leon3mp leon3mp.ucf -[Normal] -xilxMapAllowLogicOpt=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxMapCoverMode=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Speed -xilxNgdbld_AUL=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, True -xilxPAReffortLevel=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1102861051, Medium -xilxNgdbldMacro=edif, Spartan3E, EDIF.t_placeAndRouteDes, 1105378344, ..\..\netlists\xilinx\Spartan3 diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl b/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/leon3mp_win32.npl +++ /dev/null @@ -1,257 +0,0 @@ -JDF G -PROJECT leon3mp -DESIGN leon3mp -DEVFAM Spartan3E -DEVICE xc3s1600e -DEVSPEED -4 -DEVPKG fg320 -DEVTOPLEVELMODULETYPE HDL -DEVSIMULATOR Modelsim -DEVGENERATEDSIMULATIONMODEL VHDL -SOURCE config.vhd -SOURCE ahbrom.vhd -SOURCE leon3mp.vhd -SUBLIB grlib VhdlLibrary vhdl -LIBFILE ..\..\lib\grlib\stdlib\version.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\stdlib\config.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\stdlib\stdlib.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\sparc\sparc.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\modgen\multlib.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\modgen\leaves.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\amba.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\devices.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\defmst.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\apbctrl.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\ahbctrl.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\dma2ahb_pkg.vhd grlib vhdl -LIBFILE ..\..\lib\grlib\amba\dma2ahb.vhd grlib vhdl -SUBLIB unisim VhdlLibrary vhdl -SUBLIB synplify VhdlLibrary vhdl -SUBLIB techmap VhdlLibrary vhdl -LIBFILE ..\..\lib\techmap\gencomp\gencomp.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\gencomp\netcomp.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\memory_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\ddr_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\mul_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\inferred\ddr_phy_inferred.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\memory_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\buffer_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\pads_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\clkgen_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\tap_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\ddr_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\ddr_phy_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\grspwc_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\grspwc2_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\grusbhc_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\ssrctrl_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\sysmon_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\unisim\mul_unisim.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allclkgen.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allmem.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\allpads.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\alltap.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkgen.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkmux.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkand.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ddr_ireg.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ddr_oreg.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ddrphy.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram64.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram_2p.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram_dp.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncfifo.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\regfile_3p.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\tap.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\techbuf.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\nandtree.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\clkpad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\inpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\inpad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iodpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iopad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iopad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\lvds_combo.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\odpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\outpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\outpad_ds.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\toutpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\skew_outpad.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grspwc_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grspwc2_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grlfpw_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grfpw_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\mul_61x61.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\cpu_disas_net.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\ringosc.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\system_monitor.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\grgates.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\inpad_ddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\outpad_ddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\iopad_ddr.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram128bw.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram128.vhd techmap vhdl -LIBFILE ..\..\lib\techmap\maps\syncram156bw.vhd techmap vhdl -SUBLIB eth VhdlLibrary vhdl -LIBFILE ..\..\lib\eth\comp\ethcomp.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\greth_pkg.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\eth_rstgen.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\eth_ahb_mst.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\greth_tx.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\greth_rx.vhd eth vhdl -LIBFILE ..\..\lib\eth\core\grethc.vhd eth vhdl -LIBFILE ..\..\lib\eth\wrapper\greth_gen.vhd eth vhdl -LIBFILE ..\..\lib\eth\wrapper\greth_gbit_gen.vhd eth vhdl -SUBLIB gaisler VhdlLibrary vhdl -LIBFILE ..\..\lib\gaisler\arith\arith.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\arith\mul32.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\arith\div32.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\memctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\sdctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\sdctrl64.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\sdmctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\srctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\memctrl\spimctrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmuconfig.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmuiface.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libmmu.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libiu.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libcache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\libproc3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\cachemem.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_icache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_dcache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_acache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmutlbcam.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmulrue.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmulru.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmutlb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmutw.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mmu_cache.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\cpu_disasx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\iu3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grfpwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\mfpwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grlfpwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\tbufmem.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\dsu3x.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\dsu3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\proc3.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3s.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3cg.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\irqmp.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grfpwxsh.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\grfpushwx.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\leon3\leon3sh.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\misc.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\rstgen.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\gptimer.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbram.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbdpram.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbtrace.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbtrace_mb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbmst.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\grgpio.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbstat.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\logan.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\apbps2.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\charrom_package.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\charrom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\apbvga.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\svgactrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\i2cmst_gen.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\spictrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\i2cslv.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\wild.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\wild2ahb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\grsysmon.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\gracectrl.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\grgpreg.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahbmst2.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\misc\ahb_mst_iface.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\net\net.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\uart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\libdcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\apbuart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\dcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\dcom_uart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\uart\ahbuart.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\jtag.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\libjtagcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\jtagcom.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\ahbjtag.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\jtag\ahbjtag_bsd.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\ethernet_mac.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\greth.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\greth_gbit.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\greth\grethm.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr_phy.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrsp16a.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrsp32a.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrsp64a.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddrspa.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spa.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2buf.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spax.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spax_ahb.vhd gaisler vhdl -LIBFILE ..\..\lib\gaisler\ddr\ddr2spax_ddr.vhd gaisler vhdl -SUBLIB esa VhdlLibrary vhdl -LIBFILE ..\..\lib\esa\memoryctrl\memoryctrl.vhd esa vhdl -LIBFILE ..\..\lib\esa\memoryctrl\mctrl.vhd esa vhdl -SUBLIB fmf VhdlLibrary vhdl -SUBLIB spansion VhdlLibrary vhdl -SUBLIB gsi VhdlLibrary vhdl -SUBLIB lpp VhdlLibrary vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\Adder.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\ADDRcntr.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\ALU.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\general_purpose.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_CONTROLER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_MUX2.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_MUX.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC_REG.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MAC.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\Multiplier.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\MUX2.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\REG.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\general_purpose\Shifter.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\lpp_amba\APB_MULTI_DIODE.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\lpp_amba\APB_SIMPLE_DIODE.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\lpp_amba\lpp_amba.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\APB_IIR_CEL.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTERcfg.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FilterCTRLR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTER_RAM_CTRLR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\FILTER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\IIR_CEL_CTRLR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\IIR_CEL_FILTER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\iir_filter.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM_CEL.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM_CTRLR2.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\RAM.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\dsp\iir_filter\Top_Filtre_IIR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\amba_lcd_16x2_ctrlr.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\apb_lcd_ctrlr.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\FRAME_CLK.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_CFG.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_DRVR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_16x2_ENGINE.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_2x16_DRIVER.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\LCD_CLK_GENERATOR.vhd lpp vhdl -LIBFILE ..\..\lib\lpp\.\amba_lcd_16x2_ctrlr\Top_LCD.vhd lpp vhdl -SUBLIB work VhdlLibrary vhdl -DEPASSOC leon3mp leon3mp.ucf -[Normal] -_SynthFsmEncode=xstvhd, Spartan3E, VHDL.t_synthesize, 1102507235, None -p_xstBusDelimiter=xstvhd, Spartan3E, VHDL.t_synthesize, 1102507235, () -xilxMapAllowLogicOpt=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True -xilxMapCoverMode=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, Speed -xilxMapTimingDrivenPacking=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True -xilxNgdbld_AUL=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, True -xilxNgdbldMacro=xstvhd, Spartan3E, VHDL.t_ngdbuild, 1105377047, ..\..\netlists\xilinx\Spartan3 -xilxPAReffortLevel=xstvhd, Spartan3E, VHDL.t_placeAndRouteDes, 1102861051, Medium diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.do +++ /dev/null @@ -1,17 +0,0 @@ -vlib modelsim -vlib modelsim/grlib -vlib modelsim/unisim -vlib modelsim/dw02 -vlib modelsim/synplify -vlib modelsim/techmap -vlib modelsim/eth -vlib modelsim/gaisler -vlib modelsim/esa -vlib modelsim/fmf -vlib modelsim/spansion -vlib modelsim/gsi -vlib modelsim/lpp -vlib modelsim/cypress -vlib modelsim/hynix -vlib modelsim/micron -vlib modelsim/work diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt b/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/libs.txt +++ /dev/null @@ -1,1 +0,0 @@ -grlib unisim dw02 synplify techmap eth gaisler esa fmf spansion gsi lpp cypress hynix micron work \ No newline at end of file diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv.prj @@ -0,0 +1,12 @@ +vhdl grlib "../../lib/grlib/stdlib/version.vhd" +vhdl grlib "../../lib/grlib/stdlib/stdlib.vhd" +vhdl grlib "../../lib/grlib/stdlib/config.vhd" +vhdl grlib "../../lib/grlib/amba/amba.vhd" +vhdl grlib "../../lib/grlib/amba/devices.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd" +vhdl lpp "../../lib/lpp/general_purpose/general_purpose.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd" +vhdl lpp "../../lib/lpp/general_purpose/Clk_divider.vhd" +vhdl lpp "../../lib/lpp/lpp_amba/lpp_amba.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd" +vhdl lpp "../../lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv_vhdl.prj b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv_vhdl.prj new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/lpp_apb_ad_conv_vhdl.prj @@ -0,0 +1,12 @@ +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/version.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/stdlib.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/stdlib/config.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/amba/amba.vhd" +vhdl grlib "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/grlib/amba/devices.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/general_purpose.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/general_purpose/Clk_divider.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_amba/lpp_amba.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd" +vhdl lpp "/opt/GRLIB/grlib-gpl-1.1.0-b4104/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd" diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim +++ /dev/null @@ -1,1719 +0,0 @@ - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work work ../../config.vhd - acom -quiet -accept87 -work work ../../ahbrom.vhd - acom -quiet -accept87 -work work ../../leon3mp.vhd - acom -quiet -accept87 -work work ../../testbench.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work work ../../config.vhd - acom -quiet -accept87 -work work ../../ahbrom.vhd - acom -quiet -accept87 -work work ../../leon3mp.vhd - acom -quiet -accept87 -work work ../../testbench.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/version.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/config.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/stdio.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/stdlib/testlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/util/util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/sparc_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/sparc/cpu_disas.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/multlib.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/modgen/leaves.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/devices.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/defmst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/apbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/ahbctrl.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/dma2ahb_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/amba_tp.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_util.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_mst.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_slv.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahbs.vhd - acom -quiet -accept87 -work grlib ../../../../lib/grlib/amba/at/at_ahb_ctrl.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VPKG.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VCOMP.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/simple_simprim.vhd - acom -quiet -accept87 -work unisim ../../../../lib/tech/unisim/ise/unisim_VITAL.vhd - acom -quiet -accept87 -work dw02 ../../../../lib/tech/dw02/comp/DW02_components.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synplify.vhd - acom -quiet -accept87 -work synplify ../../../../lib/synplify/sim/synattr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/gencomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/gencomp/netcomp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/memory_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/mul_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/inferred/ddr_phy_inferred.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/dw02/mul_dw_gen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/memory_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/buffer_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/pads_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/clkgen_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/tap_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ddr_phy_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grspwc2_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grusbhc_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/ssrctrl_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/sysmon_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/mul_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/unisim/grfpw_0_unisim.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allclkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allmem.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/allpads.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/alltap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkgen.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkmux.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkand.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_ireg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddr_oreg.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ddrphy.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram64.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_2p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram_dp.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncfifo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/regfile_3p.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/tap.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/techbuf.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/nandtree.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/clkpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iodpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/lvds_combo.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/odpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ds.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/toutpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/skew_outpad.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grspwc2_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grlfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grfpw_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/mul_61x61.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/cpu_disas_net.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/ringosc.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/system_monitor.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/grgates.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/inpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/outpad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/iopad_ddr.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128bw.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram128.vhd - acom -quiet -accept87 -work techmap ../../../../lib/techmap/maps/syncram156bw.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/comp/ethcomp.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_pkg.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_rstgen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/eth_ahb_mst.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_tx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/greth_rx.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/core/grethc.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gen.vhd - acom -quiet -accept87 -work eth ../../../../lib/eth/wrapper/greth_gbit_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/arith.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/mul32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/arith/div32.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/memctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdctrl64.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/sdmctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/srctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/memctrl/spimctrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuconfig.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmuiface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libmmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libiu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/libproc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cachemem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_icache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_dcache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_acache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlbcam.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulrue.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmulru.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutlb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmutw.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mmu_cache.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/cpu_disasx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/iu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/mfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grlfpwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/tbufmem.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3x.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/dsu3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/proc3.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3s.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3cg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/irqmp.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpwxsh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/grfpushwx.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/leon3/leon3sh.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/misc.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/rstgen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gptimer.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbdpram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbtrace_mb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpio.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbstat.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/logan.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbps2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom_package.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/charrom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/apbvga.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/svgactrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cmst_gen.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/spictrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/i2cslv.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/wild2ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grsysmon.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/gracectrl.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/grgpreg.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahbmst2.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/misc/ahb_mst_iface.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/net/net.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/libdcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/apbuart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/dcom_uart.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/uart/ahbuart.vhd - alog -quiet -work gaisler ../../../../lib/gaisler/sim/i2c_slave_model.v - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ata_device.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/sram16.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/ahbrep.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/delay_wire.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/spi_flash.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/pwm_check.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/usbsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusbdcsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/sim/grusb_dclsim.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/libjtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagcom.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/ahbjtag_bsd.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/jtag/jtagtst.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/ethernet_mac.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/greth_gbit.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/greth/grethm.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr_phy.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp16a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp32a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrsp64a.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddrspa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spa.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2buf.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ahb.vhd - acom -quiet -accept87 -work gaisler ../../../../lib/gaisler/ddr/ddr2spax_ddr.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/memoryctrl.vhd - acom -quiet -accept87 -work esa ../../../../lib/esa/memoryctrl/mctrl.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/conversions.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/utilities/gen_utils.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/flash.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/s25fl064a.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/flash/m25p80.vhd - acom -quiet -accept87 -work fmf ../../../../lib/fmf/fifo/idt7202.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/functions.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/core_burst.vhd - acom -quiet -accept87 -work gsi ../../../../lib/gsi/ssram/g880e18bt.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Adder.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ADDRcntr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/ALU.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/general_purpose.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_MUX.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC_REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MAC.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Multiplier.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/MUX2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/REG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./general_purpose/Shifter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./lpp_amba/lpp_amba.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/RAM.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - acom -quiet -accept87 -work lpp ../../../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/components.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/package_utility.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1354b.vhd - acom -quiet -accept87 -work cypress ../../../../lib/cypress/ssram/cy7c1380d.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/HY5PS121621F.vhd - acom -quiet -accept87 -work hynix ../../../../lib/hynix/ddr2/components.vhd - alog -quiet -work micron ../../../../lib/micron/sdram/mobile_sdr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/components.vhd - acom -quiet -accept87 -work micron ../../../../lib/micron/sdram/mt48lc16m16a2.vhd - alog -quiet -work micron ../../../../lib/micron/ddr/ddr2.v - alog -quiet -work micron ../../../../lib/micron/ddr/mobile_ddr.v - acom -quiet -accept87 -work micron ../../../../lib/micron/ddr/mt46v16m16.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/debug.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/grtestmod.vhd - acom -quiet -accept87 -work work ../../../../lib/work/debug/cpu_disas.vhd - acom -quiet -accept87 -work work ../../config.vhd - acom -quiet -accept87 -work work ../../ahbrom.vhd - acom -quiet -accept87 -work work ../../leon3mp.vhd - acom -quiet -accept87 -work work ../../testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.asim-addfile +++ /dev/null @@ -1,5 +0,0 @@ - -addfile -vhdl ../../config.vhd -addfile -vhdl ../../ahbrom.vhd -addfile -vhdl ../../leon3mp.vhd -addfile -vhdl ../../testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.ncsim +++ /dev/null @@ -1,308 +0,0 @@ -ncsim: - mkdir xncsim - mkdir xncsim/grlib - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/version.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/config.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/stdio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/stdlib/testlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/util/util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/multlib.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/modgen/leaves.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/devices.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/defmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/apbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/ahbctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/amba_tp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_util.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir xncsim/unisim - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir xncsim/dw02 - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir xncsim/synplify - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synplify.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work synplify ../../lib/synplify/sim/synattr.vhd - mkdir xncsim/techmap - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/gencomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/gencomp/netcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allclkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/allpads.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/alltap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkmux.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkand.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ddrphy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_2p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram_dp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncfifo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/regfile_3p.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/tap.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/techbuf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/nandtree.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iodpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/lvds_combo.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/odpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ds.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/toutpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/skew_outpad.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grfpw_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/mul_61x61.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/ringosc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/system_monitor.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/grgates.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128bw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram128.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir xncsim/eth - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/comp/ethcomp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_pkg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/eth_ahb_mst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_tx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/greth_rx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/core/grethc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir xncsim/gaisler - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/arith.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/mul32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/arith/div32.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libiu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/iu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/proc3.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/misc.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/rstgen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gptimer.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpio.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/logan.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbps2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/charrom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/apbvga.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/spictrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/net/net.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/libdcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/apbuart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - ncvlog -nowarn DLCPTH -nocopyright -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ata_device.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/sram16.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/usbsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/greth/grethm.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir xncsim/esa - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir xncsim/fmf - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/conversions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/utilities/gen_utils.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/flash.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/s25fl064a.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/flash/m25p80.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir xncsim/spansion - mkdir xncsim/gsi - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/functions.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/core_burst.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir xncsim/lpp - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/REG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir xncsim/cypress - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/package_utility.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir xncsim/hynix - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work hynix ../../lib/hynix/ddr2/components.vhd - mkdir xncsim/micron - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/sdram/mobile_sdr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/components.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/ddr2.v - ncvlog -nowarn DLCPTH -nocopyright -work micron ../../lib/micron/ddr/mobile_ddr.v - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir xncsim/work - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/debug.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/grtestmod.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ../../lib/work/debug/cpu_disas.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work config.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work ahbrom.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work leon3mp.vhd - ncvhdl -nowarn DLCPTH -NOVITALCHECK -linedebug -v93 -nocopyright -work work testbench.vhd - ncelab -timescale 10ps/10ps testbench:behav diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.son +++ /dev/null @@ -1,286 +0,0 @@ -sonata-compile: - vhdlp -s -work grlib ../../lib/grlib/stdlib/version.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/config.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/stdio.vhd - vhdlp -s -work grlib ../../lib/grlib/stdlib/testlib.vhd - vhdlp -s -work grlib ../../lib/grlib/util/util.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/multlib.vhd - vhdlp -s -work grlib ../../lib/grlib/modgen/leaves.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/devices.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/defmst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/apbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/amba_tp.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_util.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vhdlp -s -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vhdlp -s -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vhdlp -s -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synplify.vhd - vhdlp -s -work synplify ../../lib/synplify/sim/synattr.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vhdlp -s -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vhdlp -s -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allclkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allmem.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/allpads.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/alltap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkgen.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkmux.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkand.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ddrphy.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram64.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncfifo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/tap.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/techbuf.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/nandtree.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iodpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/odpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/toutpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/ringosc.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/system_monitor.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/grgates.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram128.vhd - vhdlp -s -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vhdlp -s -work eth ../../lib/eth/comp/ethcomp.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_pkg.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_rstgen.vhd - vhdlp -s -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_tx.vhd - vhdlp -s -work eth ../../lib/eth/core/greth_rx.vhd - vhdlp -s -work eth ../../lib/eth/core/grethc.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gen.vhd - vhdlp -s -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/arith.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/mul32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/arith/div32.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vhdlp -s -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/misc.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/logan.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/charrom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vhdlp -s -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vhdlp -s -work gaisler ../../lib/gaisler/net/net.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/sram16.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vhdlp -s -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vhdlp -s -work gaisler ../../lib/gaisler/greth/grethm.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vhdlp -s -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vhdlp -s -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/conversions.vhd - vhdlp -s -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/flash.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vhdlp -s -work fmf ../../lib/fmf/flash/m25p80.vhd - vhdlp -s -work fmf ../../lib/fmf/fifo/idt7202.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/functions.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/core_burst.vhd - vhdlp -s -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vhdlp -s -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vhdlp -s -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vhdlp -s -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vhdlp -s -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/components.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/package_utility.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vhdlp -s -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vhdlp -s -work hynix ../../lib/hynix/ddr2/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/components.vhd - vhdlp -s -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vhdlp -s -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vhdlp -s -work sonata ../../lib/work/debug/debug.vhd - vhdlp -s -work sonata ../../lib/work/debug/grtestmod.vhd - vhdlp -s -work sonata ../../lib/work/debug/cpu_disas.vhd - vhdlp -s -work sonata config.vhd - vhdlp -s -work sonata ahbrom.vhd - vhdlp -s -work sonata leon3mp.vhd - vhdlp -s -work sonata testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim b/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/make.vsim +++ /dev/null @@ -1,290 +0,0 @@ -vsim: - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/version.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/config.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/stdio.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/stdlib/testlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/util/util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/sparc_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/sparc/cpu_disas.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/multlib.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/modgen/leaves.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/devices.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/defmst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/apbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/ahbctrl.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/amba_tp.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_util.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahbs.vhd - vcom -quiet -93 -work grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - vcom -quiet -93 -work unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - vcom -quiet -93 -work dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synplify.vhd - vcom -quiet -93 -work synplify ../../lib/synplify/sim/synattr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/gencomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/gencomp/netcomp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/memory_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/mul_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/memory_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/buffer_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/pads_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/tap_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/mul_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allclkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allmem.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/allpads.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/alltap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkgen.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkmux.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkand.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_ireg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddr_oreg.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ddrphy.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram64.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_2p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram_dp.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncfifo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/regfile_3p.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/tap.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/techbuf.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/nandtree.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/clkpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iodpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/lvds_combo.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/odpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ds.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/toutpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/skew_outpad.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grspwc2_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grlfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grfpw_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/mul_61x61.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/cpu_disas_net.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/ringosc.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/system_monitor.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/grgates.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/inpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/outpad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/iopad_ddr.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128bw.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram128.vhd - vcom -quiet -93 -work techmap ../../lib/techmap/maps/syncram156bw.vhd - vcom -quiet -93 -work eth ../../lib/eth/comp/ethcomp.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_pkg.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_rstgen.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/eth_ahb_mst.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_tx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/greth_rx.vhd - vcom -quiet -93 -work eth ../../lib/eth/core/grethc.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gen.vhd - vcom -quiet -93 -work eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/arith.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/mul32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/arith/div32.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/memctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/srctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmuiface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libmmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libiu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/libproc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cachemem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulrue.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmulru.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutlb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmutw.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/iu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/mfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/tbufmem.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3x.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/dsu3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/proc3.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3s.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3cg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/irqmp.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/leon3/leon3sh.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/misc.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/rstgen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gptimer.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbdpram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpio.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbstat.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/logan.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbps2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom_package.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/charrom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/apbvga.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/svgactrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/spictrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/i2cslv.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/wild2ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grsysmon.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/gracectrl.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/grgpreg.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahbmst2.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/net/net.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/libdcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/apbuart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/dcom_uart.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/uart/ahbuart.vhd - vlog -quiet -work gaisler ../../lib/gaisler/sim/i2c_slave_model.v - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ata_device.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/sram16.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/ahbrep.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/delay_wire.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/spi_flash.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/pwm_check.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/usbsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagcom.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/jtag/jtagtst.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/greth_gbit.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/greth/grethm.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddrspa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - vcom -quiet -93 -work gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/memoryctrl.vhd - vcom -quiet -93 -work esa ../../lib/esa/memoryctrl/mctrl.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/conversions.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/utilities/gen_utils.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/flash.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/s25fl064a.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/flash/m25p80.vhd - vcom -quiet -93 -work fmf ../../lib/fmf/fifo/idt7202.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/functions.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/core_burst.vhd - vcom -quiet -93 -work gsi ../../lib/gsi/ssram/g880e18bt.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Adder.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/ALU.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MAC.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/MUX2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/REG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./general_purpose/Shifter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - vcom -quiet -93 -work lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/components.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/package_utility.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1354b.vhd - vcom -quiet -93 -work cypress ../../lib/cypress/ssram/cy7c1380d.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - vcom -quiet -93 -work hynix ../../lib/hynix/ddr2/components.vhd - vlog -quiet -work micron ../../lib/micron/sdram/mobile_sdr.v - vcom -quiet -93 -work micron ../../lib/micron/sdram/components.vhd - vcom -quiet -93 -work micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - vlog -quiet -work micron ../../lib/micron/ddr/ddr2.v - vlog -quiet -work micron ../../lib/micron/ddr/mobile_ddr.v - vcom -quiet -93 -work micron ../../lib/micron/ddr/mt46v16m16.vhd - vcom -quiet -93 -work work ../../lib/work/debug/debug.vhd - vcom -quiet -93 -work work ../../lib/work/debug/grtestmod.vhd - vcom -quiet -93 -work work ../../lib/work/debug/cpu_disas.vhd - vcom -quiet -93 -work work config.vhd - vcom -quiet -93 -work work ahbrom.vhd - vcom -quiet -93 -work work leon3mp.vhd - vcom -quiet -93 -work work testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini b/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/modelsim.ini +++ /dev/null @@ -1,227 +0,0 @@ -[Library] -grlib = modelsim/grlib -unisim = modelsim/unisim -dw02 = modelsim/dw02 -synplify = modelsim/synplify -techmap = modelsim/techmap -eth = modelsim/eth -gaisler = modelsim/gaisler -esa = modelsim/esa -fmf = modelsim/fmf -spansion = modelsim/spansion -gsi = modelsim/gsi -lpp = modelsim/lpp -cypress = modelsim/cypress -hynix = modelsim/hynix -micron = modelsim/micron -work = modelsim/work -std = $MODEL_TECH/../std -ieee = $MODEL_TECH/../ieee -vital2000 = $MODEL_TECH/../vital2000 -verilog = $MODEL_TECH/../verilog -arithmetic = $MODEL_TECH/../arithmetic -mgc_portable = $MODEL_TECH/../mgc_portable -std_developerskit = $MODEL_TECH/../std_developerskit -synopsys = $MODEL_TECH/../synopsys - -[vcom] -; Turn on VHDL-1993 as the default. Normally is off. -VHDL93 = 1 - -; Show source line containing error. Default is off. -Show_source = 1 - -; Turn off unbound-component warnings. Default is on. -Show_Warning1 = 0 - -; Turn off process-without-a-wait-statement warnings. Default is on. -; Show_Warning2 = 0 - -; Turn off null-range warnings. Default is on. -; Show_Warning3 = 0 - -; Turn off no-space-in-time-literal warnings. Default is on. -; Show_Warning4 = 0 - -; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. -Show_Warning5 = 0 - -; Turn off optimization for IEEE std_logic_1164 package. Default is on. -; Optimize_1164 = 0 - -; Turn on resolving of ambiguous function overloading in favor of the -; "explicit" function declaration (not the one automatically created by -; the compiler for each type declaration). Default is off. -Explicit = 1 - -; Turn off VITAL compliance checking. Default is checking on. -; NoVitalCheck = 1 - -; Ignore VITAL compliance checking errors. Default is to not ignore. -; IgnoreVitalErrors = 1 - -; Turn off VITAL compliance checking warnings. Default is to show warnings. -; Show_VitalChecksWarnings = false - -; Turn off acceleration of the VITAL packages. Default is to accelerate. -; NoVital = 1 - -; Turn off inclusion of debugging info within design units. Default is to include. -; NoDebug = 1 - -; Turn off "loading..." messages. Default is messages on. -Quiet = 1 - -; Turn on some limited synthesis rule compliance checking. Checks only: -; -- signals used (read) by a process must be in the sensitivity list -; CheckSynthesis = 1 - -[vlog] - -; Turn off inclusion of debugging info within design units. Default is to include. -; NoDebug = 1 - -; Turn off "loading..." messages. Default is messages on. -Quiet = 1 - -; Turn on Verilog hazard checking (order-dependent accessing of global vars). -; Default is off. -; Hazard = 1 - -; Turn on converting regular Verilog identifiers to uppercase. Allows case -; insensitivity for module names. Default is no conversion. -; UpCase = 1 - -[vsim] - -; vopt flow -; Set to turn on automatic optimization of a design. -; Default is off (pre-6.0 flow without vopt). -VoptFlow = 0 - -; Simulator resolution -; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. -Resolution = 1ps - -; User time unit for run commands -; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the -; unit specified for Resolution. For example, if Resolution is 100ps, -; then UserTimeUnit defaults to ps. -UserTimeUnit = ns - -; Default run length -RunLength = 100 - -; Maximum iterations that can be run without advancing simulation time -IterationLimit = 5000 - -; Directive to license manager: -; vhdl Immediately reserve a VHDL license -; vlog Immediately reserve a Verilog license -; plus Immediately reserve a VHDL and Verilog license -; nomgc Do not look for Mentor Graphics Licenses -; nomti Do not look for Model Technology Licenses -; noqueue Do not wait in the license queue when a license isn't available -; License = plus - -; Stop the simulator after an assertion message -; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal -BreakOnAssertion = 3 - -; Assertion Message Format -; %S - Severity Level -; %R - Report Message -; %T - Time of assertion -; %D - Delta -; %I - Instance or Region pathname (if available) -; %% - print '%' character -; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" - -; Default radix for all windows and commands... -; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned -DefaultRadix = symbolic - -; VSIM Startup command -; Startup = do startup.do - -; File for saving command transcript -TranscriptFile = transcript - -; Specify whether paths in simulator commands should be described -; in VHDL or Verilog format. For VHDL, PathSeparator = / -; for Verilog, PathSeparator = . -PathSeparator = / - -; Disable assertion messages -; IgnoreNote = 1 -; IgnoreWarning = 1 -; IgnoreError = 1 -; IgnoreFailure = 1 - -; Default force kind. May be freeze, drive, or deposit -; or in other terms, fixed, wired or charged. -; DefaultForceKind = freeze - -; If zero, open files when elaborated -; else open files on first read or write -; DelayFileOpen = 0 - -; Control VHDL files opened for write -; 0 = Buffered, 1 = Unbuffered -UnbufferedOutput = 0 - -; This controls the number of characters of a signal name -; shown in the waveform window and the postscript plot. -; The default value or a value of zero tells VSIM to display -; the full name. -; WaveSignalNameWidth = 10 - -; Turn off warnings from the std_logic_arith, std_logic_unsigned -; and std_logic_signed packages. -; StdArithNoWarnings = 1 - -; Turn off warnings from the IEEE numeric_std and numeric_bit -; packages. -; NumericStdNoWarnings = 1 - -; Control the format of a generate statement label. Don't quote it. -; GenerateFormat = %s__%d - -; Specify whether checkpoint files should be compressed. -; The default is to be compressed. -; CheckpointCompressMode = 0 - -; List of dynamically loaded objects for Verilog PLI applications -; Veriuser = veriuser.sl - -[lmc] -; ModelSim's interface to Logic Modeling's SmartModel SWIFT software -libsm = $MODEL_TECH/libsm.sl -; ModelSim's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) -; libsm = $MODEL_TECH/libsm.dll -; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) -; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl -; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) -; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o -; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) -; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so -; Logic Modeling's SmartModel SWIFT software (Sun4 SunOS) -; do setenv LD_LIBRARY_PATH $LMC_HOME/lib/sun4SunOS.lib -; and run "vsim.swift". -; Logic Modeling's SmartModel SWIFT software (Windows NT) -; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll - -; ModelSim's interface to Logic Modeling's hardware modeler SFI software -libhm = $MODEL_TECH/libhm.sl -; ModelSim's interface to Logic Modeling's hardware modeler SFI software (Windows NT) -; libhm = $MODEL_TECH/libhm.dll -; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) -; libsfi = /lib/hp700/libsfi.sl -; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) -; libsfi = /lib/rs6000/libsfi.a -; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) -; libsfi = /lib/sun4.solaris/libsfi.so -; Logic Modeling's hardware modeler SFI software (Sun4 SunOS) -; libsfi = /lib/sun4.sunos/libsfi.so -; Logic Modeling's hardware modeler SFI software (Window NT) -; libsfi = /lib/pcnt/lm_sfi.dll diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/test.sch b/designs/leon3-APB_LCD-digilent-xc3s1600e/test.sch new file mode 100644 --- /dev/null +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/test.sch @@ -0,0 +1,12 @@ + + + + + + + + + + + + \ No newline at end of file diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl b/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl deleted file mode 100644 --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/tmpmake.ghdl +++ /dev/null @@ -1,303 +0,0 @@ -ghdl: - mkdir gnu - mkdir gnu/grlib - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/version.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/config.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/stdio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/stdlib/testlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/util/util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/sparc_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/sparc/cpu_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/multlib.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/modgen/leaves.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/devices.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/defmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/apbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/ahbctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/dma2ahb_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/amba_tp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_util.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_slv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahbs.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/grlib --work=grlib -Pgnu -Pgnu/grlib ../../lib/grlib/amba/at/at_ahb_ctrl.vhd - mkdir gnu/unisim - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VPKG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VCOMP.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/simple_simprim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/unisim --work=unisim -Pgnu -Pgnu/grlib -Pgnu/unisim ../../lib/tech/unisim/ise/unisim_VITAL.vhd - mkdir gnu/dw02 - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/dw02 --work=dw02 -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 ../../lib/tech/dw02/comp/DW02_components.vhd - mkdir gnu/synplify - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synplify.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/synplify --work=synplify -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify ../../lib/synplify/sim/synattr.vhd - mkdir gnu/techmap - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/gencomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/gencomp/netcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/memory_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/mul_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/dw02/mul_dw_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/memory_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/buffer_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/pads_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/clkgen_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/tap_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grspwc2_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/sysmon_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/mul_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/unisim/grfpw_0_unisim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allclkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/allpads.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/alltap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkmux.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkand.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_ireg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddr_oreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ddrphy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_2p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram_dp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncfifo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/regfile_3p.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/tap.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/techbuf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/nandtree.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/clkpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iodpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/lvds_combo.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/odpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ds.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/toutpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/skew_outpad.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grspwc2_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grlfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grfpw_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/mul_61x61.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/cpu_disas_net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/ringosc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/system_monitor.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/grgates.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/inpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/outpad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/iopad_ddr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128bw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram128.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/techmap --work=techmap -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap ../../lib/techmap/maps/syncram156bw.vhd - mkdir gnu/eth - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/comp/ethcomp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_pkg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/eth_ahb_mst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_tx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/greth_rx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/core/grethc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/eth --work=eth -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth ../../lib/eth/wrapper/greth_gbit_gen.vhd - mkdir gnu/gaisler - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/arith.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/mul32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/arith/div32.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/memctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdctrl64.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/srctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/memctrl/spimctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuconfig.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmuiface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libmmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libiu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/libproc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cachemem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_icache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_acache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulrue.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmulru.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutlb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmutw.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mmu_cache.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/iu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/mfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grlfpwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/tbufmem.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3x.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/dsu3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/proc3.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3s.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3cg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/irqmp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/grfpushwx.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/leon3/leon3sh.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/misc.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/rstgen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gptimer.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbdpram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbtrace_mb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpio.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbstat.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/logan.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbps2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom_package.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/charrom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/apbvga.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/svgactrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cmst_gen.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/spictrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/i2cslv.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/wild2ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grsysmon.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/gracectrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/grgpreg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahbmst2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/misc/ahb_mst_iface.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/net/net.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/libdcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/apbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/dcom_uart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/uart/ahbuart.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ata_device.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/sram16.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/ahbrep.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/delay_wire.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/spi_flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/pwm_check.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/usbsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusbdcsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/sim/grusb_dclsim.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/libjtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagcom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/jtag/jtagtst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/ethernet_mac.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/greth_gbit.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/greth/grethm.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr_phy.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp16a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp32a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrsp64a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddrspa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spa.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2buf.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ahb.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gaisler --work=gaisler -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler ../../lib/gaisler/ddr/ddr2spax_ddr.vhd - mkdir gnu/esa - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/memoryctrl.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/esa --work=esa -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa ../../lib/esa/memoryctrl/mctrl.vhd - mkdir gnu/fmf - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/conversions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/utilities/gen_utils.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/flash.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/s25fl064a.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/flash/m25p80.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/fmf --work=fmf -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf ../../lib/fmf/fifo/idt7202.vhd - mkdir gnu/spansion - mkdir gnu/gsi - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/functions.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/core_burst.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/gsi --work=gsi -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi ../../lib/gsi/ssram/g880e18bt.vhd - mkdir gnu/lpp - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Adder.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ADDRcntr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/ALU.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/general_purpose.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_CONTROLER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_MUX.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC_REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MAC.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Multiplier.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/MUX2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/REG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./general_purpose/Shifter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_MULTI_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/APB_SIMPLE_DIODE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./lpp_amba/lpp_amba.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/APB_IIR_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTERcfg.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FilterCTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER_RAM_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_CTRLR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/IIR_CEL_FILTER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/iir_filter.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CEL.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM_CTRLR2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/RAM.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./dsp/iir_filter/Top_Filtre_IIR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/amba_lcd_16x2_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/apb_lcd_ctrlr.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/FRAME_CLK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_CFG.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_DRVR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_16x2_ENGINE.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_2x16_DRIVER.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/LCD_CLK_GENERATOR.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/lpp --work=lpp -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp ../../lib/lpp/./amba_lcd_16x2_ctrlr/Top_LCD.vhd - mkdir gnu/cypress - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/package_utility.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1354b.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/cypress --work=cypress -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress ../../lib/cypress/ssram/cy7c1380d.vhd - mkdir gnu/hynix - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F_PACK.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/HY5PS121621F.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/hynix --work=hynix -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix ../../lib/hynix/ddr2/components.vhd - mkdir gnu/micron - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/components.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/sdram/mt48lc16m16a2.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/micron --work=micron -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron ../../lib/micron/ddr/mt46v16m16.vhd - mkdir gnu/work - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/debug.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/grtestmod.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ../../lib/work/debug/cpu_disas.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work config.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work ahbrom.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work leon3mp.vhd - ghdl -a -fexplicit --ieee=synopsys --workdir=gnu/work --work=work -Pgnu -Pgnu/grlib -Pgnu/unisim -Pgnu/dw02 -Pgnu/synplify -Pgnu/techmap -Pgnu/spw -Pgnu/eth -Pgnu/opencores -Pgnu/ihp -Pgnu/core1553bbc -Pgnu/core1553brt -Pgnu/core1553brm -Pgnu/corePCIF -Pgnu/gr1553 -Pgnu/gaisler -Pgnu/esa -Pgnu/#nasa -Pgnu/gleichmann -Pgnu/fmf -Pgnu/spansion -Pgnu/gsi -Pgnu/lpp -Pgnu/cypress -Pgnu/hynix -Pgnu/micron -Pgnu/openchip -Pgnu/work testbench.vhd diff --git a/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml b/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml --- a/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml +++ b/designs/leon3-APB_LCD-digilent-xc3s1600e/webtalk_pn.xml @@ -3,12 +3,12 @@ - +
- - - - + + + +
@@ -23,9 +23,9 @@ This means code written to parse this fi - - - + + + @@ -40,6 +40,7 @@ This means code written to parse this fi + @@ -48,7 +49,7 @@ This means code written to parse this fi - +
diff --git a/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd b/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd --- a/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd +++ b/lib/lpp/lpp_ad_Conv/AD7688_drvr.vhd @@ -18,8 +18,9 @@ ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; - - +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.general_purpose.Clk_divider; entity AD7688_drvr is generic(ChanelCount : integer; @@ -27,6 +28,7 @@ entity AD7688_drvr is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; smplClk: in STD_LOGIC; + DataReady : out std_logic; smpout : out Samples_out(ChanelCount-1 downto 0); AD_in : in AD7688_in(ChanelCount-1 downto 0); AD_out : out AD7688_out); @@ -34,21 +36,63 @@ end AD7688_drvr; architecture ar_AD7688_drvr of AD7688_drvr is -constant convTrigger : integer:= clkkHz*1.6/1000; --tconv = 1.6µs +constant convTrigger : integer:= clkkHz*16/10000; --tconv = 1.6µs -signal i : integer range 0 to convTrigger :=0; +signal i : integer range 0 to convTrigger :=0; +signal clk_int : std_logic; +signal smplClk_reg : std_logic; +signal cnv_int : std_logic; begin +clkdiv: if clkkHz>=66000 generate + clkdivider: Clk_divider + generic map(clkkHz*1000,60000000) + Port map( clk ,reset,clk_int); +end generate; + +clknodiv: if clkkHz<66000 generate +nodiv: clk_int <= clk; +end generate; + +AD_out.CNV <= cnv_int; +AD_out.SCK <= clk_int; + + sckgen: process(clk,reset) begin if reset = '0' then i <= 0; - AD_out.CNV <= '0'; + cnv_int <= '0'; + smplClk_reg <= '0'; elsif clk'event and clk = '1' then + if smplClk = '1' and smplClk_reg = '0' then + if i = convTrigger then + smplClk_reg <= '1'; + i <= 0; + cnv_int <= '0'; + else + i <= i+1; + cnv_int <= '1'; + end if; + elsif smplClk = '0' and smplClk_reg = '1' then + smplClk_reg <= '0'; + end if; end if; end process; + +spidrvr: AD7688_spi_if + generic map(ChanelCount) + Port map(clk_int,reset,cnv_int,DataReady,AD_in,smpout); + + + end ar_AD7688_drvr; + + + + + diff --git a/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd b/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/AD7688_spi_if.vhd @@ -0,0 +1,75 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.general_purpose.Clk_divider; + +entity AD7688_spi_if is + generic(ChanelCount : integer); + Port( clk : in STD_LOGIC; + reset : in STD_LOGIC; + cnv : in STD_LOGIC; + DataReady: out std_logic; + sdi : in AD7688_in(ChanelCount-1 downto 0); + smpout : out Samples_out(ChanelCount-1 downto 0) + ); +end AD7688_spi_if; + +architecture ar_AD7688_spi_if of AD7688_spi_if is + +signal shift_reg : Samples_out(ChanelCount-1 downto 0); +signal i : integer range 0 to 15 :=0; +signal cnv_reg : std_logic := '0'; + +begin + + + +process(clk,reset) +begin + if reset = '0' then + for l in 0 to ChanelCount-1 loop + shift_reg(l) <= (others => '0'); + end loop; + i <= 0; + cnv_reg <= '0'; + elsif clk'event and clk = '1' then + if cnv = '0' and cnv_reg = '0' then + if i = 15 then + i <= 0; + cnv_reg <= '1'; + else + DataReady <= '0'; + i <= i+1; + for l in 0 to ChanelCount-1 loop + shift_reg(l)(0) <= sdi(l).SDI; + shift_reg(l)(15 downto 1) <= shift_reg(l)(14 downto 0); + end loop; + end if; + else + cnv_reg <= not cnv; + smpout <= shift_reg; + DataReady <= '1'; + end if; + end if; +end process; + +end ar_AD7688_spi_if; diff --git a/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd b/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/ADS7886_drvr.vhd @@ -0,0 +1,102 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.general_purpose.Clk_divider; + +entity ADS7886_drvr is + generic(ChanelCount : integer; + clkkHz : integer); + Port ( clk : in STD_LOGIC; + reset : in STD_LOGIC; + smplClk: in STD_LOGIC; + DataReady : out std_logic; + smpout : out Samples_out(ChanelCount-1 downto 0); + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); +end ADS7886_drvr; + +architecture ar_ADS7886_drvr of ADS7886_drvr is + +constant convTrigger : integer:= clkkHz*1/1000; --tconv = 1.6µs + +signal i : integer range 0 to convTrigger :=0; +signal clk_int : std_logic; +signal smplClk_reg : std_logic; +signal cnv_int : std_logic; +signal smpout_int : Samples_out(ChanelCount-1 downto 0); + + +begin + + +clkdiv: if clkkHz>=20000 generate + clkdivider: Clk_divider + generic map(clkkHz*1000,19000000) + Port map( clk ,reset,clk_int); +end generate; + + +clknodiv: if clkkHz<20000 generate +nodiv: clk_int <= clk; +end generate; + +AD_out.CNV <= cnv_int; +AD_out.SCK <= clk_int; + + +sckgen: process(clk,reset) +begin + if reset = '0' then + i <= 0; + cnv_int <= '0'; + smplClk_reg <= '0'; + elsif clk'event and clk = '1' then + if smplClk = '1' and smplClk_reg = '0' then + if i = convTrigger then + smplClk_reg <= '1'; + i <= 0; + cnv_int <= '0'; + else + i <= i+1; + cnv_int <= '1'; + end if; + elsif smplClk = '0' and smplClk_reg = '1' then + smplClk_reg <= '0'; + end if; + end if; +end process; + + +NDMSK: for i in 0 to ChanelCount-1 +generate + smpout(i) <= smpout_int(i) and X"0FFF"; +end generate; + + +spidrvr: AD7688_spi_if + generic map(ChanelCount) + Port map(clk_int,reset,cnv_int,DataReady,AD_in,smpout_int); + + + +end ar_ADS7886_drvr; + diff --git a/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd b/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd --- a/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd +++ b/lib/lpp/lpp_ad_Conv/lpp_ad_Conv.vhd @@ -16,13 +16,21 @@ -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- + library IEEE; use IEEE.STD_LOGIC_1164.all; - +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; package lpp_ad_conv is + + constant AD7688 : integer := 0; + constant ADS7886 : integer := 1; + type AD7688_out is record @@ -40,19 +48,64 @@ package lpp_ad_conv is type Samples_out is array(natural range <>) of std_logic_vector(15 downto 0); component AD7688_drvr is - generic(ChanelCount : integer; - clkkHz : integer); + generic(ChanelCount : integer; + clkkHz : integer); Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; smplClk: in STD_LOGIC; + DataReady : out std_logic; smpout : out Samples_out(ChanelCount-1 downto 0); AD_in : in AD7688_in(ChanelCount-1 downto 0); AD_out : out AD7688_out); end component; +component AD7688_spi_if is + generic(ChanelCount : integer); + Port( clk : in STD_LOGIC; + reset : in STD_LOGIC; + cnv : in STD_LOGIC; + DataReady: out std_logic; + sdi : in AD7688_in(ChanelCount-1 downto 0); + smpout : out Samples_out(ChanelCount-1 downto 0) + ); +end component; +component lpp_apb_ad_conv + generic( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + ChanelCount : integer := 1; + clkkHz : integer := 50000; + smpClkHz : integer := 100; + ADCref : integer := AD7688); + Port ( + clk : in STD_LOGIC; + reset : in STD_LOGIC; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); +end component; + +component ADS7886_drvr is + generic(ChanelCount : integer; + clkkHz : integer); + Port ( + clk : in STD_LOGIC; + reset : in STD_LOGIC; + smplClk : in STD_LOGIC; + DataReady : out std_logic; + smpout : out Samples_out(ChanelCount-1 downto 0); + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out + ); +end component; + end lpp_ad_conv; diff --git a/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd b/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd new file mode 100644 --- /dev/null +++ b/lib/lpp/lpp_ad_Conv/lpp_apb_ad_conv.vhd @@ -0,0 +1,142 @@ +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use ieee.numeric_std.all; +library grlib; +use grlib.amba.all; +use grlib.stdlib.all; +use grlib.devices.all; +library lpp; +use lpp.lpp_ad_conv.all; +use lpp.lpp_amba.all; +use lpp.general_purpose.Clk_divider; + +entity lpp_apb_ad_conv is + generic( + pindex : integer := 0; + paddr : integer := 0; + pmask : integer := 16#fff#; + pirq : integer := 0; + abits : integer := 8; + ChanelCount : integer := 1; + clkkHz : integer := 50000; + smpClkHz : integer := 100; + ADCref : integer := AD7688); + Port ( + clk : in STD_LOGIC; + reset : in STD_LOGIC; + apbi : in apb_slv_in_type; + apbo : out apb_slv_out_type; + AD_in : in AD7688_in(ChanelCount-1 downto 0); + AD_out : out AD7688_out); +end lpp_apb_ad_conv; + + +architecture ar_lpp_apb_ad_conv of lpp_apb_ad_conv is +constant REVISION : integer := 1; + +constant pconfig : apb_config_type := ( + 0 => ahb_device_reg (VENDOR_LPP, LPP_ADC_7688, 0, REVISION, 0), + 1 => apb_iobar(paddr, pmask)); + +signal Rdata : std_logic_vector(31 downto 0); +signal smpout : Samples_out(ChanelCount-1 downto 0); +signal smplClk : STD_LOGIC; +signal DataReady : STD_LOGIC; + +type lpp_apb_ad_conv_Reg is record + CTRL_Reg : std_logic_vector(31 downto 0); + sample : Samples_out(ChanelCount-1 downto 0); +end record; + +signal r : lpp_apb_ad_conv_Reg; + +begin + + +caseAD7688: if ADCref = AD7688 generate +AD7688: AD7688_drvr + generic map(ChanelCount,clkkHz) + Port map(clk,reset,smplClk,DataReady,smpout,AD_in,AD_out); +end generate; + +caseADS786: if ADCref = ADS7886 generate +ADS7886: ADS7886_drvr + generic map(ChanelCount,clkkHz) + Port map(clk,reset,smplClk,DataReady,smpout,AD_in,AD_out); +end generate; + + +clkdivider: Clk_divider + generic map(clkkHz*1000,smpClkHz) + Port map( clk ,reset,smplClk); + + + +r.CTRL_Reg(0) <= DataReady; + +r.sample <= smpout; + + +process(reset,clk) +begin + if reset = '0' then + --r.CTRL_Reg(9 downto 0) <= (others => '0'); + elsif clk'event and clk = '1' then + +--APB Write OP + if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => + --r.CTRL_Reg(9 downto 0) <= apbi.pwdata(9 downto 0); + when others => + end case; + end if; + +--APB READ OP + if (apbi.psel(pindex) and (not apbi.pwrite)) = '1' then + case apbi.paddr(7 downto 2) is + when "000000" => + Rdata <= r.CTRL_Reg; + when others => + readC: for i in 1 to ChanelCount loop + if TO_INTEGER(unsigned(apbi.paddr(abits-1 downto 2))) =i then + Rdata(15 downto 0) <= r.sample(i-1)(15 downto 0); + end if; + end loop; + end case; + end if; + end if; + apbo.pconfig <= pconfig; +end process; + +apbo.prdata <= Rdata when apbi.penable = '1' ; + + +end ar_lpp_apb_ad_conv; + + + + + + + + + diff --git a/lib/lpp/lpp_amba/lpp_amba.vhd b/lib/lpp/lpp_amba/lpp_amba.vhd --- a/lib/lpp/lpp_amba/lpp_amba.vhd +++ b/lib/lpp/lpp_amba/lpp_amba.vhd @@ -39,7 +39,7 @@ constant LPP_MULTI_DIODE : amba_ constant LPP_LCD_CTRLR : amba_device_type := 16#005#; constant LPP_UART : amba_device_type := 16#006#; constant LPP_CNA : amba_device_type := 16#007#; - +constant LPP_ADC_7688 : amba_device_type := 16#008#; component APB_SIMPLE_DIODE is generic (