diff --git a/Makefile b/Makefile --- a/Makefile +++ b/Makefile @@ -20,7 +20,7 @@ init: allGPL sh lib/lpp/makeDirs.sh lib/lpp -Patch-GRLIB: init doc +Patch-GRLIB: init sh patch.sh $(GRLIB) diff --git a/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt --- a/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt +++ b/lib/lpp/amba_lcd_16x2_ctrlr/vhdlsyn.txt @@ -1,7 +1,9 @@ -amba_lcd_16x2_ctrlr.vhd FRAME_CLK.vhd LCD_16x2_CFG.vhd +LCD_16x2_DRIVER +.vhd LCD_16x2_ENGINE.vhd LCD_2x16_DRIVER.vhd LCD_CLK_GENERATOR.vhd Top_LCD.vhd +amba_lcd_16x2_ctrlr.vhd diff --git a/lib/lpp/dirs.txt b/lib/lpp/dirs.txt --- a/lib/lpp/dirs.txt +++ b/lib/lpp/dirs.txt @@ -1,4 +1,4 @@ +./amba_lcd_16x2_ctrlr +./dsp/iir_filter ./general_purpose ./lpp_amba -./dsp/iir_filter -./amba_lcd_16x2_ctrlr diff --git a/lib/lpp/dsp/iir_filter/vhdlsyn.txt b/lib/lpp/dsp/iir_filter/vhdlsyn.txt --- a/lib/lpp/dsp/iir_filter/vhdlsyn.txt +++ b/lib/lpp/dsp/iir_filter/vhdlsyn.txt @@ -1,12 +1,12 @@ APB_IIR_CEL.vhd +FILTER.vhd +FILTER_RAM_CTRLR.vhd FILTERcfg.vhd FilterCTRLR.vhd -FILTER_RAM_CTRLR.vhd -FILTER.vhd IIR_CEL_CTRLR.vhd IIR_CEL_FILTER.vhd -iir_filter.vhd +RAM.vhd RAM_CEL.vhd RAM_CTRLR2.vhd -RAM.vhd Top_Filtre_IIR.vhd +iir_filter.vhd diff --git a/lib/lpp/general_purpose/vhdlsyn.txt b/lib/lpp/general_purpose/vhdlsyn.txt --- a/lib/lpp/general_purpose/vhdlsyn.txt +++ b/lib/lpp/general_purpose/vhdlsyn.txt @@ -1,13 +1,13 @@ -Adder.vhd ADDRcntr.vhd ALU.vhd -general_purpose.vhd +Adder.vhd +MAC.vhd MAC_CONTROLER.vhd -MAC_MUX2.vhd MAC_MUX.vhd +MAC_MUX2.vhd MAC_REG.vhd -MAC.vhd +MUX2.vhd Multiplier.vhd -MUX2.vhd REG.vhd Shifter.vhd +general_purpose.vhd diff --git a/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd --- a/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd +++ b/lib/lpp/lpp_amba/APB_SIMPLE_DIODE.vhd @@ -1,21 +1,21 @@ ------------------------------------------------------------------------------- --- This file is a part of the LPP VHDL IP LIBRARY --- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation; either version 2 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program; if not, write to the Free Software --- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -------------------------------------------------------------------------------- +------------------------------------------------------------------------------ +-- This file is a part of the LPP VHDL IP LIBRARY +-- Copyright (C) 2009 - 2010, Laboratory of Plasmas Physic - CNRS +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; if not, write to the Free Software +-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA +------------------------------------------------------------------------------- -- APB_SIMPLE_DIODE.vhd library ieee; @@ -51,7 +51,7 @@ architecture AR_APB_SIMPLE_DIODE of APB_ constant REVISION : integer := 1; constant pconfig : apb_config_type := ( - 0 => ahb_device_reg (VENDOR_LPP, ROCKET_TM, 0, REVISION, 0), + 0 => ahb_device_reg (VENDOR_LPP, LPP_SIMPLE_DIODE, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); @@ -105,10 +105,10 @@ end process; -- pragma translate_off - bootmsg : report_version - generic map ("apbuart" & tost(pindex) & - ": Generic UART rev " & tost(REVISION) & ", fifo " & tost(fifosize) & - ", irq " & tost(pirq)); +-- bootmsg : report_version +-- generic map ("apbuart" & tost(pindex) & +-- ": Generic UART rev " & tost(REVISION) & ", fifo " & tost(fifosize) & +-- ", irq " & tost(pirq)); -- pragma translate_on diff --git a/lib/lpp/lpp_amba/lpp_amba.vhd b/lib/lpp/lpp_amba/lpp_amba.vhd --- a/lib/lpp/lpp_amba/lpp_amba.vhd +++ b/lib/lpp/lpp_amba/lpp_amba.vhd @@ -35,8 +35,9 @@ constant VENDOR_LPP : amba_vendor -- LPP device ids -constant ROCKET_TM : amba_device_type := 16#001#; -constant otherCore : amba_device_type := 16#002#; +constant ROCKET_TM : amba_device_type := 16#001#; +constant otherCore : amba_device_type := 16#002#; +constant LPP_SIMPLE_DIODE : amba_device_type := 16#003#; component APB_SIMPLE_DIODE is