##// END OF EJS Templates
Started preliminary version of BeagleSynth board.
Started preliminary version of BeagleSynth board.

File last commit:

r229:8ff242376ddf JC
r255:0c243809f9f2 alexis
Show More
vhdlsyn.txt
17 lines | 289 B | text/plain | TextLexer
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 ALU_Driver.vhd
ALU_Driver.vhd.bak
APB_Matrix.vhd
Dispatch.vhd
DriveInputs.vhd
GetResult.vhd
Restored previous ALU version as ALU_V0 for IIR filter first version...
r226 lpp_matrix.vhd
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 MatriceSpectrale.vhd
MatriceSpectrale.vhd.bak
Matrix.vhd
ReUse_CTRLR.vhd
SpectralMatrix.vhd
SpectralMatrix.vhd.bak
Starter.vhd
TopMatrix_PDR.vhd
Restored previous ALU version as ALU_V0 for IIR filter first version...
r226 Top_MatrixSpec.vhd
Alexis Jeandet
Added simple FX2LP driver to write in endpoint 6 in 8 bit mode.
r217 TopSpecMatrix.vhd