##// END OF EJS Templates
1.0.0.7...
1.0.0.7 Synchronization before 1) interface testing at LESIA MAY 27 2) major changes in the VHDL spectral matrix / waveform picker interfaces

File last commit:

r94:399cb300a264 VHDLib206
r135:f2636eaf863a VHDLib206
Show More
LEON3_LOAD.py
9 lines | 322 B | text/x-python | PythonLexer
#!/usr/bin/lppmon -e
proxy.loadSysDriver("AHBUARTplugin","AHBUART");
proxy.loadSysDriverToParent("dsu3plugin","AHBUART");
proxy.loadSysDriverToParent("APB UART PLUGIN","AHBUART");
AHBUART.open("/dev/ttyUSB0",30000000)
dsu3plugin0.openFile("/opt/DEV_PLE/FSW-qt/bin/fsw-vhdl-dev")
dsu3plugin0.loadFile()
dsu3plugin0.run()