##// END OF EJS Templates
Adaptation to last version of catalogue controller
Adaptation to last version of catalogue controller

File last commit:

r1195:800f227572ac
r1196:b6390f556418
Show More
CatalogueActionManager.h
17 lines | 401 B | text/x-c | CLexer
/ gui / include / Catalogue / CatalogueActionManager.h
#ifndef SCIQLOP_CATALOGUEACTIONMANAGER_H
#define SCIQLOP_CATALOGUEACTIONMANAGER_H
#include <Common/spimpl.h>
class CatalogueActionManager {
public:
CatalogueActionManager();
void installSelectionZoneActions();
private:
class CatalogueActionManagerPrivate;
spimpl::unique_impl_ptr<CatalogueActionManagerPrivate> impl;
};
#endif // SCIQLOP_CATALOGUEACTIONMANAGER_H