##// END OF EJS Templates
updated generator to LGPLed version from gitorious...
updated generator to LGPLed version from gitorious git-svn-id: svn://svn.code.sf.net/p/pythonqt/code/trunk@123 ea8d5007-eb21-0410-b261-ccb3ea6e24a9

File last commit:

r87:0003cc64a7aa
r87:0003cc64a7aa
Show More
docgenerator.h
59 lines | 2.0 KiB | text/x-c | CLexer
florianlink
syncing with my current work, updating to 1.2, see changelog...
r10 /****************************************************************************
**
florianlink
updated generator to LGPLed version from gitorious...
r87 ** Copyright (C) 2008-2009 Nokia Corporation and/or its subsidiary(-ies).
** All rights reserved.
** Contact: Nokia Corporation (qt-info@nokia.com)
florianlink
syncing with my current work, updating to 1.2, see changelog...
r10 **
florianlink
updated generator to LGPLed version from gitorious...
r87 ** This file is part of the Qt Script Generator project on Qt Labs.
florianlink
syncing with my current work, updating to 1.2, see changelog...
r10 **
florianlink
updated generator to LGPLed version from gitorious...
r87 ** $QT_BEGIN_LICENSE:LGPL$
** No Commercial Usage
** This file contains pre-release code and may not be distributed.
** You may use this file in accordance with the terms and conditions
** contained in the Technology Preview License Agreement accompanying
** this package.
florianlink
syncing with my current work, updating to 1.2, see changelog...
r10 **
florianlink
updated generator to LGPLed version from gitorious...
r87 ** GNU Lesser General Public License Usage
** Alternatively, this file may be used under the terms of the GNU Lesser
** General Public License version 2.1 as published by the Free Software
** Foundation and appearing in the file LICENSE.LGPL included in the
** packaging of this file. Please review the following information to
** ensure the GNU Lesser General Public License version 2.1 requirements
** will be met: http://www.gnu.org/licenses/old-licenses/lgpl-2.1.html.
florianlink
syncing with my current work, updating to 1.2, see changelog...
r10 **
florianlink
updated generator to LGPLed version from gitorious...
r87 ** In addition, as a special exception, Nokia gives you certain additional
** rights. These rights are described in the Nokia Qt LGPL Exception
** version 1.1, included in the file LGPL_EXCEPTION.txt in this package.
**
** If you have questions regarding the use of this file, please contact
** Nokia at qt-info@nokia.com.
**
**
**
**
**
**
**
**
** $QT_END_LICENSE$
florianlink
syncing with my current work, updating to 1.2, see changelog...
r10 **
****************************************************************************/
#ifndef DOCGENERATOR_H
#define DOCGENERATOR_H
#include "generator.h"
class DocGenerator : public Generator
{
public:
DocGenerator();
virtual bool shouldGenerate(const AbstractMetaClass *meta_class) const;
virtual void generate();
virtual QString fileNameForClass(const AbstractMetaClass *meta_class) const;
virtual QString subDirectoryForClass(const AbstractMetaClass *cls) const;
virtual void write(QTextStream &s, const AbstractMetaClass *meta_class);
};
#endif